skip to main content
Skip header Section
Embedded Multiprocessors: Scheduling and SynchronizationJanuary 2000
Publisher:
  • Marcel Dekker, Inc.
  • 270 Madison Ave. New York, NY
  • United States
ISBN:978-0-8247-9318-0
Published:01 January 2000
Pages:
352
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

From the Publisher:

This book focuses on the incorporation of interprocessor communication costs into multiprocessor scheduling decisions, modeling and analysis of multiprocessor system performance, and the application of the synchronization graph model to the development of hardware and software that can significantly reduce interprocessor communication overhead-working out details of associated algorithms with practical examples.

Reviewing important research in key areas related to multiprocessor implementation for embedded systems, Embedded Multiprocessors tackles traditional problems by proposing solutions that incorporate cost models of embedded multiprocessor systems... highlights a variety of useful techniques employed in multiprocessor scheduling strategies ...examines parallel software from the perspective of signals ...identifies abstractions that work well for the joint design of embedded hardware and software ...analyzes research from related fields, including theoretical computer science, compiler design, digital communications, and VLSI signal processing ...and more.

Cited By

  1. Elahi H, Geilen M and Basten T (2023). Efficient Computation of the Max-Plus Semantics of Synchronous Dataflow Graphs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 42:10, (3412-3425), Online publication date: 1-Oct-2023.
  2. Kocian A, Chessa S and Grolman W (2020). Monitoring Practitioner's Skills in Pure-Tone Audiometry, International Journal of E-Health and Medical Communications, 11:2, (38-63), Online publication date: 1-Apr-2020.
  3. ACM
    Lohstroh M, Schoeberl M, Goens A, Wasicek A, Gill C, Sirjani M and Lee E Actors Revisited for Time-Critical Systems Proceedings of the 56th Annual Design Automation Conference 2019, (1-4)
  4. ACM
    Ali H, Stuijk S, Akesson B and Pinho L (2017). Reducing the Complexity of Dataflow Graphs Using Slack-Based Merging, ACM Transactions on Design Automation of Electronic Systems, 22:2, (1-22), Online publication date: 15-Mar-2017.
  5. ACM
    Bouakaz A, Fradet P and Girault A (2017). A Survey of Parametric Dataflow Models of Computation, ACM Transactions on Design Automation of Electronic Systems, 22:2, (1-25), Online publication date: 15-Mar-2017.
  6. ACM
    Rosvall K, Khalilzad N, Ungureanu G and Sander I Throughput Propagation in Constraint-Based Design Space Exploration for Mixed-Criticality Systems Proceedings of the 9th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, (1-8)
  7. ACM
    van Teijlingen W, van Leuken R, Galuzzi C and Kienhuis B Determining Performance Boundaries on High-Level System Specifications Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems, (90-97)
  8. ACM
    Liu W and Xiao C (2016). An Efficient Technique of Application Mapping and Scheduling on Real-Time Multiprocessor Systems for Throughput Optimization, ACM Transactions on Embedded Computing Systems, 15:4, (1-25), Online publication date: 1-Sep-2016.
  9. ACM
    Hausmans J and Bekooij M A refinement theory for timed-dataflow analysis with support for reordering Proceedings of the 13th International Conference on Embedded Software, (1-10)
  10. Salunkhe H, Moreira O and van Berkel K (2016). Modeling & analysis of an LTE-Advanced receiver using mode-controlled dataflow, Microprocessors & Microsystems, 47:PA, (216-230), Online publication date: 1-Nov-2016.
  11. Fakih M, Grüttner K, Fränzle M and Rettberg A (2015). State-based real-time analysis of SDF applications on MPSoCs with shared communication resources, Journal of Systems Architecture: the EUROMICRO Journal, 61:9, (486-509), Online publication date: 1-Oct-2015.
  12. ACM
    Gomony M, Akesson B and Goossens K (2015). A Real-Time Multichannel Memory Controller and Optimal Mapping of Memory Clients to Memory Channels, ACM Transactions on Embedded Computing Systems, 14:2, (1-27), Online publication date: 25-Mar-2015.
  13. ACM
    Mirzoyan D, Akesson B, Stuijk S and Goossens K (2015). Maximizing the Number of Good Dies for Streaming Applications in NoC-Based MPSoCs Under Process Variation, ACM Transactions on Embedded Computing Systems, 14:4, (1-26), Online publication date: 8-Dec-2015.
  14. Lele A, Moreira O and van Berkel K FP-scheduling for mode-controlled dataflow Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, (1257-1260)
  15. Liu W, Gu Z and Ye Y Efficient SAT-based application mapping and scheduling on multiprocessor systems for throughput maximization Proceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, (127-136)
  16. An abstraction-refinement framework for priority-driven scheduling of static dataflow graphs Proceedings of the Twelfth ACM/IEEE Conference on Formal Methods and Models for Codesign, (2-11)
  17. Unified dataflow model for the analysis of data and pipeline parallelism, and buffer sizing Proceedings of the Twelfth ACM/IEEE Conference on Formal Methods and Models for Codesign, (12-21)
  18. ACM
    Mirzoyan D, Akesson B and Goossens K (2014). Process-variation-aware mapping of best-effort and real-time streaming applications to MPSoCs, ACM Transactions on Embedded Computing Systems, 13:2s, (1-24), Online publication date: 1-Jan-2014.
  19. ACM
    Das A, Kumar A and Veeravalli B (2014). Energy-aware task mapping and scheduling for reliable embedded computing systems, ACM Transactions on Embedded Computing Systems, 13:2s, (1-27), Online publication date: 1-Jan-2014.
  20. ACM
    Cong J, Huang M and Zhang P Combining computation and communication optimizations in system synthesis for streaming applications Proceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays, (213-222)
  21. ACM
    Bebelis V, Fradet P and Girault A A framework to schedule parametric dataflow applications on many-core platforms Proceedings of the 2014 SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems, (125-134)
  22. ACM
    Hausmans J, Geuns S, Wiggers M and Bekooij M Temporal analysis flow based on an enabling rate characterization for multi-rate applications executed on mpsocs with non-starvation-free schedulers Proceedings of the 17th International Workshop on Software and Compilers for Embedded Systems, (108-117)
  23. ACM
    Bebelis V, Fradet P and Girault A (2014). A framework to schedule parametric dataflow applications on many-core platforms, ACM SIGPLAN Notices, 49:5, (125-134), Online publication date: 5-May-2014.
  24. Salunkhe H, Moreira O and van Berkel K Mode-controlled dataflow based modeling & analysis of a 4G-LTE receiver Proceedings of the conference on Design, Automation & Test in Europe, (1-4)
  25. Rosvall K and Sander I A constraint-based design space exploration framework for real-time applications on MPSoCs Proceedings of the conference on Design, Automation & Test in Europe, (1-6)
  26. Mirza U, Gruian F and Kuchcinski K (2014). Mapping streaming applications on multiprocessors with time-division-multiplexed network-on-chip, Computers and Electrical Engineering, 40:8, (276-291), Online publication date: 1-Nov-2014.
  27. ACM
    Hausmans J, Wiggers M, Geuns S and Bekooij M Dataflow analysis for multiprocessor systems with non-starvation-free schedulers Proceedings of the 16th International Workshop on Software and Compilers for Embedded Systems, (13-22)
  28. Fakih M, Grüttner K, Fränzle M and Rettberg A Towards performance analysis of SDFGs mapped to shared-bus architectures using model-checking Proceedings of the Conference on Design, Automation and Test in Europe, (1167-1172)
  29. ACM
    Kumar T, Govindarajan R and Ravikumar C (2012). On-chip memory architecture exploration framework for DSP processor-based embedded system on chip, ACM Transactions on Embedded Computing Systems, 11:1, (1-25), Online publication date: 1-Mar-2012.
  30. ACM
    Castrillon J, Tretter A, Leupers R and Ascheid G Communication-aware mapping of KPN applications onto heterogeneous MPSoCs Proceedings of the 49th Annual Design Automation Conference, (1266-1271)
  31. ACM
    An X, Boumedien S, Gamatié A and Rutten É CLASSY Proceedings of the 15th International Workshop on Software and Compilers for Embedded Systems, (3-12)
  32. ACM
    Molnos A, Nejad A, Nguyen B, Cotofana S and Goossens K Decoupled inter- and intra-application scheduling for composable and robust embedded MPSoC platforms Proceedings of the 15th International Workshop on Software and Compilers for Embedded Systems, (13-21)
  33. ACM
    Hausmans J, Geuns S, Wiggers M and Bekooij M Compositional temporal analysis model for incremental hard real-time system design Proceedings of the tenth ACM international conference on Embedded software, (185-194)
  34. ACM
    Lele A, Moreira O and Cuijpers P A new data flow analysis model for TDM Proceedings of the tenth ACM international conference on Embedded software, (237-246)
  35. Thiele D and Ernst R Optimizing performance analysis for synchronous dataflow graphs with shared resources Proceedings of the Conference on Design, Automation and Test in Europe, (635-640)
  36. ACM
    Zhu J, Sander I and Jantsch A (2012). Performance Analysis of Reconfigurations in Adaptive Real-Time Streaming Applications, ACM Transactions on Embedded Computing Systems, 11S:1, (1-20), Online publication date: 1-Jun-2012.
  37. Nita I, Costachioiu T and Lazarescu V Speed-Up of gis processing using multicore architectures Proceedings of the 2011 international conference on Computational science and its applications - Volume Part II, (293-302)
  38. Plishker W, Sane N, Kiemb M and Bhattacharyya S Heterogeneous design in functional DIF Transactions on High-Performance Embedded Architectures and Compilers IV, (391-408)
  39. ACM
    Geilen M and Stuijk S Worst-case performance analysis of synchronous dataflow scenarios Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (125-134)
  40. ACM
    Geilen M (2011). Synchronous dataflow scenarios, ACM Transactions on Embedded Computing Systems, 10:2, (1-31), Online publication date: 1-Dec-2010.
  41. Castrillon J, Velasquez R, Stulova A, Sheng W, Ceng J, Leupers R, Ascheid G and Meyr H Trace-based KPN composability analysis for mapping simultaneous applications to MPSoC platforms Proceedings of the Conference on Design, Automation and Test in Europe, (753-758)
  42. Bonfietti A, Benini L, Lombardi M and Milano M An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms Proceedings of the Conference on Design, Automation and Test in Europe, (897-902)
  43. Wiggers M, Bekooij M, Geilen M and Basten T Simultaneous budget and buffer size computation for throughput-constrained task graphs Proceedings of the Conference on Design, Automation and Test in Europe, (1669-1672)
  44. Zhu X Retiming multi-rate DSP algorithms to meet real-time requirement Proceedings of the Conference on Design, Automation and Test in Europe, (1785-1790)
  45. Zhu J, Sander I and Jantsch A Constrained global scheduling of streaming applications on MPSoCs Proceedings of the 2010 Asia and South Pacific Design Automation Conference, (223-228)
  46. Xu C, Xue C, He Y and Sha E Energy efficient joint scheduling and multi-core interconnect design Proceedings of the 2010 Asia and South Pacific Design Automation Conference, (879-884)
  47. Leupers R and Castrillon J MPSoC programming using the MAPS compiler Proceedings of the 2010 Asia and South Pacific Design Automation Conference, (897-902)
  48. Dey S, Sarkar D and Basu A (2010). A tag machine based performance evaluation method for job-shop schedules, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29:7, (1028-1041), Online publication date: 1-Jul-2010.
  49. ACM
    Hansson A, Goossens K, Bekooij M and Huisken J (2009). CoMPSoC, ACM Transactions on Design Automation of Electronic Systems, 14:1, (1-24), Online publication date: 1-Jan-2009.
  50. ACM
    Thiele L and Stoimenov N Modular performance analysis of cyclic dataflow graphs Proceedings of the seventh ACM international conference on Embedded software, (127-136)
  51. ACM
    Wiggers M, Bekooij M and Smit G Monotonicity and run-time scheduling Proceedings of the seventh ACM international conference on Embedded software, (177-186)
  52. ACM
    Meijer S, Nikolov H and Stefanov T On compile-time evaluation of process partitioning transformations for Kahn process networks Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, (31-40)
  53. ACM
    Geilen M Reduction techniques for synchronous dataflow graphs Proceedings of the 46th Annual Design Automation Conference, (911-916)
  54. ACM
    Plishker W, Sane N and Bhattacharyya S Mode grouping for more effective generalized scheduling of dynamic dataflow applications Proceedings of the 46th Annual Design Automation Conference, (923-926)
  55. Plishker W, Sane N and Bhattacharyya S A generalized scheduling approach for dynamic dataflow applications Proceedings of the Conference on Design, Automation and Test in Europe, (111-116)
  56. Lee D, Bhattacharyya S and Wolf W High-Performance Buffer Mapping to Exploit DRAM Concurrency in Multiprocessor DSP Systems Proceedings of the 2009 IEEE/IFIP International Symposium on Rapid System Prototyping, (137-144)
  57. Cong J, Gururaj K, Han G and Jiang W (2009). Synthesis algorithm for application-specific homogeneous processor networks, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:9, (1318-1329), Online publication date: 1-Sep-2009.
  58. Xu C, Xue C, Hu J and Sha E (2009). Optimizing scheduling and intercluster connection for application-specific DSP processors, IEEE Transactions on Signal Processing, 57:11, (4538-4547), Online publication date: 1-Nov-2009.
  59. ACM
    Kumar A, Fernando S, Ha Y, Mesman B and Corporaal H (2008). Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA, ACM Transactions on Design Automation of Electronic Systems, 13:3, (1-27), Online publication date: 1-Jul-2008.
  60. ACM
    Hsu C, Pino J and Bhattacharyya S Multithreaded simulation for synchronous dataflow graphs Proceedings of the 45th annual Design Automation Conference, (331-336)
  61. ACM
    Ghamarian A, Geilen M, Basten T and Stuijk S Parametric throughput analysis of synchronous data flow graphs Proceedings of the conference on Design, automation and test in Europe, (116-121)
  62. ACM
    Wiggers M, Bekooij M and Smit G Computation of buffer capacities for throughput constrained and data dependent inter-task communication Proceedings of the conference on Design, automation and test in Europe, (640-645)
  63. ACM
    Saha S, Schlessman J, Puthenpurayil S, Bhattacharyya S and Wolf W An optimized message passing framework for parallel implementation of signal processing applications Proceedings of the conference on Design, automation and test in Europe, (1220-1225)
  64. ACM
    Zhu J, Sander I and Jantsch A Energy efficient streaming applications with guaranteed throughput on MPSoCs Proceedings of the 8th ACM international conference on Embedded software, (119-128)
  65. ACM
    Bhattacharyya S, Brebner G, Janneck J, Eker J, von Platen C, Mattavelli M and Raulet M (2009). OpenDF, ACM SIGARCH Computer Architecture News, 36:5, (29-35), Online publication date: 20-Dec-2008.
  66. ACM
    Cong J, Han G and Jiang W Synthesis of an application-specific soft multiprocessor system Proceedings of the 2007 ACM/SIGDA 15th international symposium on Field programmable gate arrays, (99-107)
  67. ACM
    Wiggers M, Bekooij M and Smit G Efficient computation of buffer capacities for cyclo-static dataflow graphs Proceedings of the 44th annual Design Automation Conference, (658-663)
  68. ACM
    Kumar A, Mesman B, Corporaal H, Theelen B and Ha Y A probabilistic approach to model resource contention for performance estimation of multi-featured media devices Proceedings of the 44th annual Design Automation Conference, (726-731)
  69. ACM
    Stuijk S, Basten T, Geilen M and Corporaal H Multiprocessor resource allocation for throughput-constrained synchronous dataflow graphs Proceedings of the 44th annual Design Automation Conference, (777-782)
  70. ACM
    Moreira O, Valente F and Bekooij M Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor Proceedings of the 7th ACM & IEEE international conference on Embedded software, (57-66)
  71. Sen M, Corretjer I, Haim F, Saha S, Schlessman J, Lv T, Bhattacharyya S and Wolf W (2007). Dataflow-based mapping of computer vision algorithms onto FPGAs, EURASIP Journal on Embedded Systems, 2007:1, (29-29), Online publication date: 1-Jan-2007.
  72. Silven O and Jyrkkä K (2007). Observations on power-efficiency trends in mobile communication devices, EURASIP Journal on Embedded Systems, 2007:1, (17-17), Online publication date: 1-Jan-2007.
  73. Denolf K, Chirila-Rus A, Schumacher P, Turney R, Vissers K, Verkest D and Corporaal H (2007). A systematic approach to design low-power video codec cores, EURASIP Journal on Embedded Systems, 2007:1, (42-42), Online publication date: 1-Jan-2007.
  74. Cockx J, Denolf K, Vanhoof B and Stahl R (2007). SPRINT, EURASIP Journal on Advances in Signal Processing, 2007:1, (213-213), Online publication date: 1-Jan-2007.
  75. Schliecker S, Stein S and Ernst R Performance analysis of complex systems by integration of dataflow graphs and compositional performance analysis Proceedings of the conference on Design, automation and test in Europe, (273-278)
  76. ACM
    Wiggers M, Bekooij M and Smit G Modelling run-time arbitration by latency-rate servers in dataflow graphs Proceedingsof the 10th international workshop on Software & compilers for embedded systems, (11-22)
  77. A scenario-aware data flow model for combined long-run average and worst-case performance analysis Proceedings of the Fourth ACM/IEEE International Conference on Formal Methods and Models for Co-Design, (185-194)
  78. ACM
    Stuijk S, Geilen M and Basten T Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs Proceedings of the 43rd annual Design Automation Conference, (899-904)
  79. ACM
    Wiggers M, Bekooij M, Jansen P and Smit G Efficient computation of buffer capacities for multi-rate real-time systems with back-pressure Proceedings of the 4th international conference on Hardware/software codesign and system synthesis, (10-15)
  80. Mcallister J, Woods R, Walke R and Reilly D (2006). Multidimensional DSP Core Synthesis for FPGA, Journal of VLSI Signal Processing Systems, 43:2-3, (207-221), Online publication date: 1-Jun-2006.
  81. Bambha N and Bhattacharyya S (2005). Joint Application Mapping/Interconnect Synthesis Techniques for Embedded Chip-Scale Multiprocessors, IEEE Transactions on Parallel and Distributed Systems, 16:2, (99-112), Online publication date: 1-Feb-2005.
  82. ACM
    Bambha N and Bhattacharyya S Communication strategies for shared-bus embedded multiprocessors Proceedings of the 5th ACM international conference on Embedded software, (21-24)
  83. ACM
    Bekooij M, Parmar S and van Meerbergen J Performance guarantees by simulation of process Proceedings of the 2005 workshop on Software and compilers for embedded systems, (10-19)
  84. ACM
    Kianzad V, Saha S, Schlessman J, Aggarwal G, Bhattacharyya S, Wolf W and Chellappa R An architectural level design methodology for embedded face detection Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (136-141)
  85. Thiele L and Wilhelm R (2004). Design for Timing Predictability, Real-Time Systems, 28:2-3, (157-177), Online publication date: 1-Nov-2004.
  86. Bhattacharyya S and Murthy P (2004). The CBP Parameter, Journal of VLSI Signal Processing Systems, 38:2, (131-146), Online publication date: 1-Sep-2004.
  87. ACM
    Marculescu R, Ogras U and Zamora N Computation and communication refinement for multiprocessor SoC design Proceedings of the 41st annual Design Automation Conference, (564-592)
  88. ACM
    Poplavko P, Basten T, Bekooij M, van Meerbergen J and Mesman B Task-level timing models for guaranteed performance in multiprocessor networks-on-chip Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems, (63-72)
  89. Spivey G, Bhattacharyya S and Nakajima K (2003). Logic foundry, EURASIP Journal on Advances in Signal Processing, 2003, (565-579), Online publication date: 1-Jan-2003.
  90. Bambha N, Kianzad V, Khandelia M and Bhattacharyya S (2002). Intermediate Representations for Design Automation of Multiprocessor DSP Systems, Design Automation for Embedded Systems, 7:4, (307-323), Online publication date: 1-Nov-2002.
  91. Bambha N and Bhattacharyya S A joint power/performance optimization algorithm for multiprocessor systems using a period graph construct Proceedings of the 13th international symposium on System synthesis, (91-97)
Contributors
  • University of California, Berkeley
  • Institute of Electronics and Digital Technologies

Recommendations