skip to main content
Skip header Section
The SPARC architecture manual (version 9)April 1994
Publisher:
  • Prentice-Hall, Inc.
  • Division of Simon and Schuster One Lake Street Upper Saddle River, NJ
  • United States
ISBN:978-0-13-099227-7
Published:01 April 1994
Pages:
357
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. ACM
    Fan H, Sun Z and He F (2023). Satisfiability Modulo Ordering Consistency Theory for SC, TSO, and PSO Memory Models, ACM Transactions on Programming Languages and Systems, 45:1, (1-37), Online publication date: 31-Mar-2023.
  2. ACM
    Oberhauser J, Chehab R, Behrens D, Fu M, Paolillo A, Oberhauser L, Bhat K, Wen Y, Chen H, Kim J and Vafeiadis V VSync: push-button verification and optimization for synchronization primitives on weak memory models Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, (530-545)
  3. ACM
    Abdulla P, Arora J, Atig M and Krishna S Verification of programs under the release-acquire semantics Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, (1117-1132)
  4. ACM
    Kokologiannakis M, Raad A and Vafeiadis V Model checking for weakly consistent libraries Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, (96-110)
  5. ACM
    Lahav O and Margalit R Robustness against release/acquire semantics Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, (126-141)
  6. ACM
    Emmi M and Enea C (2019). Weak-consistency specification via visibility relaxation, Proceedings of the ACM on Programming Languages, 3:POPL, (1-28), Online publication date: 2-Jan-2019.
  7. ACM
    Yao Y and Schaumont P A Low-cost Function Call Protection Mechanism Against Instruction Skip Fault Attacks Proceedings of the 2018 Workshop on Attacks and Solutions in Hardware Security, (55-64)
  8. Ros A and Kaxiras S Non-speculative store coalescing in total store order Proceedings of the 45th Annual International Symposium on Computer Architecture, (221-234)
  9. Abdulla P, Aronis S, Atig M, Jonsson B, Leonardsson C and Sagonas K (2017). Stateless model checking for TSO and PSO, Acta Informatica, 54:8, (789-818), Online publication date: 1-Dec-2017.
  10. ACM
    Manerkar Y, Lustig D, Martonosi M and Pellauer M RTLcheck Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, (463-476)
  11. ACM
    DeLozier C, Eizenberg A, Hu S, Pokam G and Devietti J TMI Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, (639-650)
  12. ACM
    Lee D and Bertacco V (2017). MTraceCheck, ACM SIGARCH Computer Architecture News, 45:2, (201-213), Online publication date: 14-Sep-2017.
  13. ACM
    Alam H, Zhang T, Erez M and Etsion Y (2017). Do-It-Yourself Virtual Memory Translation, ACM SIGARCH Computer Architecture News, 45:2, (457-468), Online publication date: 14-Sep-2017.
  14. ACM
    Kusano M and Wang C Thread-modular static analysis for relaxed memory models Proceedings of the 2017 11th Joint Meeting on Foundations of Software Engineering, (337-348)
  15. ACM
    Alglave J, Kroening D, Nimal V and Poetzl D (2017). Don’t Sit on the Fence, ACM Transactions on Programming Languages and Systems, 39:2, (1-38), Online publication date: 30-Jun-2017.
  16. ACM
    Lee D and Bertacco V MTraceCheck Proceedings of the 44th Annual International Symposium on Computer Architecture, (201-213)
  17. ACM
    Alam H, Zhang T, Erez M and Etsion Y Do-It-Yourself Virtual Memory Translation Proceedings of the 44th Annual International Symposium on Computer Architecture, (457-468)
  18. ACM
    Trippel C, Manerkar Y, Lustig D, Pellauer M and Martonosi M (2017). TriCheck, ACM SIGPLAN Notices, 52:4, (119-133), Online publication date: 12-May-2017.
  19. ACM
    Trippel C, Manerkar Y, Lustig D, Pellauer M and Martonosi M (2017). TriCheck, ACM SIGARCH Computer Architecture News, 45:1, (119-133), Online publication date: 11-May-2017.
  20. ACM
    Flur S, Sarkar S, Pulte C, Nienhuis K, Maranget L, Gray K, Sezgin A, Batty M and Sewell P (2017). Mixed-size concurrency: ARM, POWER, C/C++11, and SC, ACM SIGPLAN Notices, 52:1, (429-442), Online publication date: 11-May-2017.
  21. ACM
    Trippel C, Manerkar Y, Lustig D, Pellauer M and Martonosi M TriCheck Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, (119-133)
  22. Sengupta A, Cao M, Bond M and Kulkarni M Legato: end-to-end bounded region serializability using commodity hardware transactional memory Proceedings of the 2017 International Symposium on Code Generation and Optimization, (1-13)
  23. ACM
    Flur S, Sarkar S, Pulte C, Nienhuis K, Maranget L, Gray K, Sezgin A, Batty M and Sewell P Mixed-size concurrency: ARM, POWER, C/C++11, and SC Proceedings of the 44th ACM SIGPLAN Symposium on Principles of Programming Languages, (429-442)
  24. ACM
    Cabon G, Cachera D and Pichardie D An Extended Buffered Memory Model With Full Reorderings Proceedings of the 18th Workshop on Formal Techniques for Java-like Programs, (1-6)
  25. ACM
    Lustig D, Trippel C, Pellauer M and Martonosi M (2015). ArMOR, ACM SIGARCH Computer Architecture News, 43:3S, (388-400), Online publication date: 4-Jan-2016.
  26. ACM
    Furbach F, Meyer R, Schneider K and Senftleben M (2015). Memory-Model-Aware Testing, ACM Transactions on Embedded Computing Systems, 14:4, (1-25), Online publication date: 8-Dec-2015.
  27. ACM
    Manerkar Y, Lustig D, Pellauer M and Martonosi M CCICheck Proceedings of the 48th International Symposium on Microarchitecture, (26-37)
  28. Štill V, RoăźKai P and Barnat J Weak Memory Models as LLVM-to-LLVM Transformations Revised Selected Papers of the 10th International Doctoral Workshop on Mathematical and Engineering Methods in Computer Science - Volume 9548, (144-155)
  29. ACM
    Deng Z, Zhang L, Franklin D and Chong F Herniated Hash Tables Proceedings of the 2015 International Symposium on Memory Systems, (247-257)
  30. ACM
    Zhang N, Kusano M and Wang C (2015). Dynamic partial order reduction for relaxed memory models, ACM SIGPLAN Notices, 50:6, (250-259), Online publication date: 7-Aug-2015.
  31. ACM
    Ben-Baruch O and Hendler D The Price of being Adaptive Proceedings of the 2015 ACM Symposium on Principles of Distributed Computing, (183-192)
  32. ACM
    Attiya H, Hendler D and Woelfel P Trading Fences with RMRs and Separating Memory Models Proceedings of the 2015 ACM Symposium on Principles of Distributed Computing, (173-182)
  33. ACM
    Kasikci B, Zamfir C and Candea G (2015). Automated Classification of Data Races Under Both Strong and Weak Memory Models, ACM Transactions on Programming Languages and Systems, 37:3, (1-44), Online publication date: 18-Jun-2015.
  34. ACM
    Lustig D, Trippel C, Pellauer M and Martonosi M ArMOR Proceedings of the 42nd Annual International Symposium on Computer Architecture, (388-400)
  35. ACM
    Zhang N, Kusano M and Wang C Dynamic partial order reduction for relaxed memory models Proceedings of the 36th ACM SIGPLAN Conference on Programming Language Design and Implementation, (250-259)
  36. ACM
    Duan Y, Honarmand N and Torrellas J (2015). Asymmetric Memory Fences, ACM SIGARCH Computer Architecture News, 43:1, (531-543), Online publication date: 29-May-2015.
  37. ACM
    Duan Y, Honarmand N and Torrellas J (2015). Asymmetric Memory Fences, ACM SIGPLAN Notices, 50:4, (531-543), Online publication date: 12-May-2015.
  38. Abdulla P, Aronis S, Atig M, Jonsson B, Leonardsson C and Sagonas K Stateless Model Checking for TSO and PSO Proceedings of the 21st International Conference on Tools and Algorithms for the Construction and Analysis of Systems - Volume 9035, (353-367)
  39. ACM
    Duan Y, Honarmand N and Torrellas J Asymmetric Memory Fences Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, (531-543)
  40. ACM
    Gionta J, Enck W and Ning P HideM Proceedings of the 5th ACM Conference on Data and Application Security and Privacy, (325-336)
  41. Lustig D, Pellauer M and Martonosi M PipeCheck: Specifying and Verifying Microarchitectural Enforcement of Memory Consistency Models Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, (635-646)
  42. ACM
    Pelley S, Chen P and Wenisch T (2014). Memory persistency, ACM SIGARCH Computer Architecture News, 42:3, (265-276), Online publication date: 16-Oct-2014.
  43. Alglave J, Kroening D, Nimal V and Poetzl D Don't Sit on the Fence Proceedings of the 16th International Conference on Computer Aided Verification - Volume 8559, (508-524)
  44. ACM
    Alglave J, Maranget L and Tautschnig M (2014). Herding Cats, ACM Transactions on Programming Languages and Systems, 36:2, (1-74), Online publication date: 1-Jul-2014.
  45. ACM
    Jagannathan S, Laporte V, Petri G, Pichardie D and Vitek J (2014). Atomicity Refinement for Verified Compilation, ACM Transactions on Programming Languages and Systems, 36:2, (1-30), Online publication date: 1-Jul-2014.
  46. Pelley S, Chen P and Wenisch T Memory persistency Proceeding of the 41st annual international symposium on Computer architecuture, (265-276)
  47. ACM
    Boehm H and Demsky B Outlawing ghosts Proceedings of the workshop on Memory Systems Performance and Correctness, (1-6)
  48. Petri G Studying Operational Models of Relaxed Concurrency 8th International Symposium on Trustworthy Global Computing - Volume 8358, (254-272)
  49. ACM
    Attiya H, Hendler D and Levy S An O(1)-barriers optimal RMRs mutual exclusion algorithm Proceedings of the 2013 ACM symposium on Principles of distributed computing, (220-229)
  50. ACM
    Duan Y, Muzahid A and Torrellas J (2013). WeeFence, ACM SIGARCH Computer Architecture News, 41:3, (213-224), Online publication date: 26-Jun-2013.
  51. ACM
    Hechtman B and Sorin D (2013). Exploring memory consistency for massively-threaded throughput-oriented processors, ACM SIGARCH Computer Architecture News, 41:3, (201-212), Online publication date: 26-Jun-2013.
  52. ACM
    Rajaram B, Nagarajan V, Sarkar S and Elver M (2013). Fast RMWs for TSO, ACM SIGPLAN Notices, 48:6, (61-72), Online publication date: 23-Jun-2013.
  53. ACM
    Huang J, Zhang C and Dolby J (2013). CLAP, ACM SIGPLAN Notices, 48:6, (141-152), Online publication date: 23-Jun-2013.
  54. ACM
    Duan Y, Muzahid A and Torrellas J WeeFence Proceedings of the 40th Annual International Symposium on Computer Architecture, (213-224)
  55. ACM
    Hechtman B and Sorin D Exploring memory consistency for massively-threaded throughput-oriented processors Proceedings of the 40th Annual International Symposium on Computer Architecture, (201-212)
  56. ACM
    Rajaram B, Nagarajan V, Sarkar S and Elver M Fast RMWs for TSO Proceedings of the 34th ACM SIGPLAN Conference on Programming Language Design and Implementation, (61-72)
  57. ACM
    Huang J, Zhang C and Dolby J CLAP Proceedings of the 34th ACM SIGPLAN Conference on Programming Language Design and Implementation, (141-152)
  58. ACM
    Ševčík J, Vafeiadis V, Zappa Nardelli F, Jagannathan S and Sewell P (2013). CompCertTSO, Journal of the ACM, 60:3, (1-50), Online publication date: 1-Jun-2013.
  59. Jagadeesan R, Petri G, Pitcher C and Riely J Quarantining weakness Proceedings of the 22nd European conference on Programming Languages and Systems, (492-511)
  60. Linden A and Wolper P A verification-based approach to memory fence insertion in PSO memory systems Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems, (339-353)
  61. ACM
    Huang J and Zhang C (2012). Execution privatization for scheduler-oblivious concurrent programs, ACM SIGPLAN Notices, 47:10, (737-752), Online publication date: 15-Nov-2012.
  62. ACM
    Huang J and Zhang C Execution privatization for scheduler-oblivious concurrent programs Proceedings of the ACM international conference on Object oriented programming systems languages and applications, (737-752)
  63. Abdulla P, Atig M, Chen Y, Leonardsson C and Rezine A Automatic fence insertion in integer programs via predicate abstraction Proceedings of the 19th international conference on Static Analysis, (164-180)
  64. ACM
    Kuperstein M, Vechev M and Yahav E (2012). Automatic inference of memory fences, ACM SIGACT News, 43:2, (108-123), Online publication date: 11-Jun-2012.
  65. Jagadeesan R, Petri G and Riely J Brookes is relaxed, almost! Proceedings of the 15th international conference on Foundations of Software Science and Computational Structures, (180-194)
  66. ACM
    Hasegawa Y, Iwata J, Tsuji M, Takahashi D, Oshiyama A, Minami K, Boku T, Shoji F, Uno A, Kurokawa M, Inoue H, Miyoshi I and Yokokawa M First-principles calculations of electron states of a silicon nanowire with 100,000 atoms on the K computer Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis, (1-11)
  67. ACM
    Yonezawa A, Watanabe T, Yokokawa M, Sato M and Hirao K Advanced Institute for Computational Science (AICS) State of the Practice Reports, (1-8)
  68. Cong J and Gururaj K Assuring application-level correctness against soft errors Proceedings of the International Conference on Computer-Aided Design, (150-157)
  69. Yokokawa M, Shoji F, Uno A, Kurokawa M and Watanabe T The K computer Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design, (371-372)
  70. ACM
    Burnim J, Sen K and Stergiou C Testing concurrent programs on relaxed memory models Proceedings of the 2011 International Symposium on Software Testing and Analysis, (122-132)
  71. Linden A and Wolper P A verification-based approach to memory fence insertion in relaxed memory systems Proceedings of the 18th international SPIN conference on Model checking software, (144-160)
  72. Atig M, Bouajjani A and Parlato G Getting rid of store-buffers in TSO analysis Proceedings of the 23rd international conference on Computer aided verification, (99-115)
  73. Alkassar E, Böhme S, Mehlhorn K and Rizkallah C Verification of certifying computations Proceedings of the 23rd international conference on Computer aided verification, (67-82)
  74. Alglave J and Maranget L Stability in weak memory models Proceedings of the 23rd international conference on Computer aided verification, (50-66)
  75. Bouajjani A, Meyer R and Möhlmann E Deciding robustness against total store ordering Proceedings of the 38th international conference on Automata, languages and programming - Volume Part II, (428-440)
  76. ACM
    Mador-Haim S, Alur R and Martin M Litmus tests for comparing memory consistency models Proceedings of the 48th Design Automation Conference, (504-509)
  77. ACM
    Ševčík J Safe optimisations for shared-memory concurrent programs Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, (306-316)
  78. ACM
    Kuperstein M, Vechev M and Yahav E Partial-coherence abstractions for relaxed memory models Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, (187-198)
  79. ACM
    Ševčík J (2011). Safe optimisations for shared-memory concurrent programs, ACM SIGPLAN Notices, 46:6, (306-316), Online publication date: 4-Jun-2011.
  80. ACM
    Kuperstein M, Vechev M and Yahav E (2011). Partial-coherence abstractions for relaxed memory models, ACM SIGPLAN Notices, 46:6, (187-198), Online publication date: 4-Jun-2011.
  81. ACM
    Ladan-Mozes E, Lee I and Vyukov D Location-based memory fences Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures, (75-84)
  82. Brandenburg B, Leontyev H and Anderson J (2011). An overview of interrupt accounting techniques for multiprocessor real-time systems, Journal of Systems Architecture: the EUROMICRO Journal, 57:6, (638-654), Online publication date: 1-Jun-2011.
  83. ACM
    Basu A, Bobba J and Hill M Karma Proceedings of the international conference on Supercomputing, (359-368)
  84. Burnim J, Sen K and Stergiou C Sound and complete monitoring of sequential consistency for relaxed memory models Proceedings of the 17th international conference on Tools and algorithms for the construction and analysis of systems: part of the joint European conferences on theory and practice of software, (11-25)
  85. ACM
    Batty M, Owens S, Sarkar S, Sewell P and Weber T Mathematizing C++ concurrency Proceedings of the 38th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (55-66)
  86. ACM
    Ŝevčik J, Vafeiadis V, Zappa Nardelli F, Jagannathan S and Sewell P Relaxed-memory concurrency and verified compilation Proceedings of the 38th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (43-54)
  87. ACM
    Batty M, Owens S, Sarkar S, Sewell P and Weber T (2011). Mathematizing C++ concurrency, ACM SIGPLAN Notices, 46:1, (55-66), Online publication date: 26-Jan-2011.
  88. ACM
    Ŝevčik J, Vafeiadis V, Zappa Nardelli F, Jagannathan S and Sewell P (2011). Relaxed-memory concurrency and verified compilation, ACM SIGPLAN Notices, 46:1, (43-54), Online publication date: 26-Jan-2011.
  89. Kuperstein M, Vechev M and Yahav E Automatic inference of memory fences Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design, (111-120)
  90. De A, Roychoudhury A and D'Souza D WOMM Proceedings of the 4th international conference on Leveraging applications of formal methods, verification, and validation - Volume Part I, (519-534)
  91. Linden A and Wolper P An automata-based symbolic approach for verifying programs on relaxed memory models Proceedings of the 17th international SPIN conference on Model checking software, (212-226)
  92. Mador-Haim S, Alur R and Martin M Generating litmus tests for contrasting memory consistency models Proceedings of the 22nd international conference on Computer Aided Verification, (273-287)
  93. Nellans D, Sudan K, Brunvand E and Balasubramonian R Improving server performance on multi-cores via selective off-loading of OS functionality Proceedings of the 2010 international conference on Computer Architecture, (275-292)
  94. ACM
    Guerraoui R, Henzinger T, Kapalka M and Singh V Transactions in the jungle Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures, (263-272)
  95. ACM
    Romanescu B, Lebeck A and Sorin D Specifying and dynamically verifying address translation-aware memory consistency Proceedings of the fifteenth International Conference on Architectural support for programming languages and operating systems, (323-334)
  96. ACM
    Romanescu B, Lebeck A and Sorin D (2010). Specifying and dynamically verifying address translation-aware memory consistency, ACM SIGPLAN Notices, 45:3, (323-334), Online publication date: 5-Mar-2010.
  97. ACM
    Romanescu B, Lebeck A and Sorin D (2010). Specifying and dynamically verifying address translation-aware memory consistency, ACM SIGARCH Computer Architecture News, 38:1, (323-334), Online publication date: 5-Mar-2010.
  98. ACM
    Atig M, Bouajjani A, Burckhardt S and Musuvathi M On the verification problem for weak memory models Proceedings of the 37th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (7-18)
  99. ACM
    Atig M, Bouajjani A, Burckhardt S and Musuvathi M (2010). On the verification problem for weak memory models, ACM SIGPLAN Notices, 45:1, (7-18), Online publication date: 2-Jan-2010.
  100. ACM
    Oancea C, Mycroft A and Watt S A new approach to parallelising tracing algorithms Proceedings of the 2009 international symposium on Memory management, (10-19)
  101. ACM
    Larsson A, Gidenstam A, Ha P, Papatriantafilou M and Tsigas P (2009). Multiword atomic read/write registers on multiprocessor systems, ACM Journal of Experimental Algorithmics, 13, (1.7-1.30), Online publication date: 1-Feb-2009.
  102. ACM
    Sarkar S, Sewell P, Nardelli F, Owens S, Ridge T, Braibant T, Myreen M and Alglave J (2009). The semantics of x86-CC multiprocessor machine code, ACM SIGPLAN Notices, 44:1, (379-391), Online publication date: 21-Jan-2009.
  103. ACM
    Sarkar S, Sewell P, Nardelli F, Owens S, Ridge T, Braibant T, Myreen M and Alglave J The semantics of x86-CC multiprocessor machine code Proceedings of the 36th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (379-391)
  104. ACM
    Alglave J, Fox A, Ishtiaq S, Myreen M, Sarkar S, Sewell P and Nardelli F The semantics of power and ARM multiprocessor machine code Proceedings of the 4th workshop on Declarative aspects of multicore programming, (13-24)
  105. ACM
    Buchanan E, Roemer R, Shacham H and Savage S When good instructions go bad Proceedings of the 15th ACM conference on Computer and communications security, (27-38)
  106. Kawahara Y, Aoki K and Takagi T Faster Implementation of ηTPairing over GF(3m) Using Minimum Number of Logical Instructions for GF(3)-Addition Proceedings of the 2nd international conference on Pairing-Based Cryptography, (282-296)
  107. Burckhardt S and Musuvathi M Effective Program Verification for Relaxed Memory Models Proceedings of the 20th international conference on Computer Aided Verification, (107-120)
  108. Hower D and Hill M Rerun Proceedings of the 35th Annual International Symposium on Computer Architecture, (265-276)
  109. ACM
    Quintão Pereira F and Palsberg J Register allocation by puzzle solving Proceedings of the 29th ACM SIGPLAN Conference on Programming Language Design and Implementation, (216-226)
  110. ACM
    Hower D and Hill M (2008). Rerun, ACM SIGARCH Computer Architecture News, 36:3, (265-276), Online publication date: 1-Jun-2008.
  111. ACM
    Quintão Pereira F and Palsberg J (2008). Register allocation by puzzle solving, ACM SIGPLAN Notices, 43:6, (216-226), Online publication date: 30-May-2008.
  112. ACM
    Michael C, Brodowicz M and Sterling T Improving code compression using clustered modalities Proceedings of the 46th Annual Southeast Regional Conference on XX, (423-428)
  113. ACM
    Tanaka K and Kawahara T (2007). Leakage energy reduction in cache memory by data compression, ACM SIGARCH Computer Architecture News, 35:5, (17-24), Online publication date: 1-Dec-2007.
  114. Tanaka K and Fujita T Leakage energy reduction in cache memory by software self-invalidation Proceedings of the 12th Asia-Pacific conference on Advances in Computer Systems Architecture, (163-174)
  115. Ahmadi O, Hankerson D and Menezes A Software Implementation of Arithmetic in Proceedings of the 1st international workshop on Arithmetic of Finite Fields, (85-102)
  116. ACM
    Burckhardt S, Alur R and Martin M CheckFence Proceedings of the 28th ACM SIGPLAN Conference on Programming Language Design and Implementation, (12-21)
  117. ACM
    Burckhardt S, Alur R and Martin M (2007). CheckFence, ACM SIGPLAN Notices, 42:6, (12-21), Online publication date: 10-Jun-2007.
  118. ACM
    Xu M, Hill M and Bodik R (2006). A regulated transitive reduction (RTR) for longer memory race recording, ACM SIGPLAN Notices, 41:11, (49-60), Online publication date: 1-Nov-2006.
  119. ACM
    Xu M, Hill M and Bodik R A regulated transitive reduction (RTR) for longer memory race recording Proceedings of the 12th international conference on Architectural support for programming languages and operating systems, (49-60)
  120. ACM
    Xu M, Hill M and Bodik R (2006). A regulated transitive reduction (RTR) for longer memory race recording, ACM SIGARCH Computer Architecture News, 34:5, (49-60), Online publication date: 20-Oct-2006.
  121. ACM
    Xu M, Hill M and Bodik R (2006). A regulated transitive reduction (RTR) for longer memory race recording, ACM SIGOPS Operating Systems Review, 40:5, (49-60), Online publication date: 20-Oct-2006.
  122. Fu R, Lu J, Zhai A and Hsu W A study of the performance potential for dynamic instruction hints selection Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture, (67-80)
  123. ACM
    Chiba Y Heap protection for Java virtual machines Proceedings of the 4th international symposium on Principles and practice of programming in Java, (103-112)
  124. ACM
    Mathiske B, Simon D and Ungar D The Project Maxwell assembler system Proceedings of the 4th international symposium on Principles and practice of programming in Java, (3-12)
  125. Burckhardt S, Alur R and Martin M Bounded model checking of concurrent data types on relaxed memory models Proceedings of the 18th international conference on Computer Aided Verification, (489-502)
  126. ACM
    Higham L and Jackson L Translating between itanium and sparc memory consistency models Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures, (170-179)
  127. Arvind A and Maessen J Memory Model = Instruction Reordering + Store Atomicity Proceedings of the 33rd annual international symposium on Computer Architecture, (29-40)
  128. ACM
    Arvind A and Maessen J (2006). Memory Model = Instruction Reordering + Store Atomicity, ACM SIGARCH Computer Architecture News, 34:2, (29-40), Online publication date: 1-May-2006.
  129. Velev M Formal Verification of Pipelined Microprocessors with Delayed Branches Proceedings of the 7th International Symposium on Quality Electronic Design, (296-299)
  130. Higham L and Kawash J Can out-of-order instruction execution in multiprocessors be made sequentially consistent? Proceedings of the 2005 IFIP international conference on Network and Parallel Computing, (261-265)
  131. Oehmke D, Binkert N, Mudge T and Reinhardt S How to Fake 1000 Registers Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, (7-18)
  132. ACM
    Harris T, Marlow S and Jones S Haskell on a shared-memory multiprocessor Proceedings of the 2005 ACM SIGPLAN workshop on Haskell, (49-61)
  133. Attiya H and Hendler D Time and space lower bounds for implementations using k-CAS Proceedings of the 19th international conference on Distributed Computing, (169-183)
  134. ACM
    Manovit C and Hangal S Efficient algorithms for verifying memory consistency Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures, (245-252)
  135. Hines S, Green J, Tyson G and Whalley D Improving Program Efficiency by Packing Instructions into Registers Proceedings of the 32nd annual international symposium on Computer Architecture, (260-271)
  136. Cintra M and Llanos D (2005). Design Space Exploration of a Software Speculative Parallelization Scheme, IEEE Transactions on Parallel and Distributed Systems, 16:6, (562-576), Online publication date: 1-Jun-2005.
  137. Tseng J and Asanovic K (2005). A Speculative Control Scheme for an Energy-Efficient Banked Register File, IEEE Transactions on Computers, 54:6, (741-751), Online publication date: 1-Jun-2005.
  138. ACM
    Hines S, Green J, Tyson G and Whalley D (2005). Improving Program Efficiency by Packing Instructions into Registers, ACM SIGARCH Computer Architecture News, 33:2, (260-271), Online publication date: 1-May-2005.
  139. ACM
    Manson J, Pugh W and Adve S (2005). The Java memory model, ACM SIGPLAN Notices, 40:1, (378-391), Online publication date: 12-Jan-2005.
  140. ACM
    Manson J, Pugh W and Adve S The Java memory model Proceedings of the 32nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (378-391)
  141. Hoffmann R, Korch M and Rauber T Performance Evaluation of Task Pools Based on Hardware Synchronization Proceedings of the 2004 ACM/IEEE conference on Supercomputing
  142. Mitra T, Roychoudhury A and Shen Q Impact of Java Memory Model on Out-of-Order Multiprocessors Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques, (99-110)
  143. ACM
    Doherty S, Herlihy M, Luchangco V and Moir M Bringing practical lock-free synchronization to 64-bit applications Proceedings of the twenty-third annual ACM symposium on Principles of distributed computing, (31-39)
  144. Krietemeyer M, Versick D and Tavangarian D A mathematical model for the transitional region between cache hierarchy levels Proceedings of the 4th international conference on Innovative Internet Community Systems, (178-188)
  145. Hangal S, Vahia D, Manovit C and Lu J TSOtool Proceedings of the 31st annual international symposium on Computer architecture
  146. Chou Y, Fahs B and Abraham S Microarchitecture Optimizations for Exploiting Memory-Level Parallelism Proceedings of the 31st annual international symposium on Computer architecture
  147. Qiu X and Dubois M (2004). Tolerating Late Memory Traps in Dynamically Scheduled Processors, IEEE Transactions on Computers, 53:6, (732-743), Online publication date: 1-Jun-2004.
  148. ACM
    Hangal S, Vahia D, Manovit C and Lu J (2004). TSOtool, ACM SIGARCH Computer Architecture News, 32:2, (114), Online publication date: 2-Mar-2004.
  149. ACM
    Chou Y, Fahs B and Abraham S (2004). Microarchitecture Optimizations for Exploiting Memory-Level Parallelism, ACM SIGARCH Computer Architecture News, 32:2, (76), Online publication date: 2-Mar-2004.
  150. ACM
    Cintra M and Llanos D (2003). Toward efficient and robust software speculative parallelization on multiprocessors, ACM SIGPLAN Notices, 38:10, (13-24), Online publication date: 1-Oct-2003.
  151. ACM
    Jayanti P and Petrovic S Efficient and practical constructions of LL/SC variables Proceedings of the twenty-second annual symposium on Principles of distributed computing, (285-294)
  152. ACM
    Takeuchi M, Komatsu H and Nakatani T A new speculation technique to optimize floating-point performance while preserving bit-by-bit reproducibility Proceedings of the 17th annual international conference on Supercomputing, (305-315)
  153. ACM
    Cintra M and Llanos D Toward efficient and robust software speculative parallelization on multiprocessors Proceedings of the ninth ACM SIGPLAN symposium on Principles and practice of parallel programming, (13-24)
  154. ACM
    Tseng J and Asanović K Banked multiported register files for high-frequency superscalar microprocessors Proceedings of the 30th annual international symposium on Computer architecture, (62-71)
  155. ACM
    Inagaki T, Onodera T, Komatsu H and Nakatani T Stride prefetching by dynamically inspecting objects Proceedings of the ACM SIGPLAN 2003 conference on Programming language design and implementation, (269-277)
  156. ACM
    Luchangco V, Moir M and Shavit N Nonblocking k-compare-single-swap Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures, (314-323)
  157. ACM
    Inagaki T, Onodera T, Komatsu H and Nakatani T (2003). Stride prefetching by dynamically inspecting objects, ACM SIGPLAN Notices, 38:5, (269-277), Online publication date: 9-May-2003.
  158. ACM
    Tseng J and Asanović K (2003). Banked multiported register files for high-frequency superscalar microprocessors, ACM SIGARCH Computer Architecture News, 31:2, (62-71), Online publication date: 1-May-2003.
  159. Baray F, Codognet P, Diaz D and Michel H Code-based test generation for validation of functional processor descriptions Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems, (569-584)
  160. Settle A, Connors D, Hoflehner G and Lavery D Optimization for the Intel® Itanium® architecture register stack Proceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization, (115-124)
  161. Scott K, Kumar N, Velusamy S, Childers B, Davidson J and Soffa M Retargetable and reconfigurable software dynamic translation Proceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization, (36-47)
  162. Sakamoto M, Katsuno A, Inoue A, Asakawa T, Ueno H, Morita K and Kimura Y Microarchitecture and Performance Analysis of a SPARC-V9 Microprocessor for Enterprise Server Systems Proceedings of the 9th International Symposium on High-Performance Computer Architecture
  163. ACM
    Martínez J and Torrellas J (2002). Speculative synchronization, ACM SIGOPS Operating Systems Review, 36:5, (18-29), Online publication date: 1-Dec-2002.
  164. ACM
    Martínez J and Torrellas J (2002). Speculative synchronization, ACM SIGARCH Computer Architecture News, 30:5, (18-29), Online publication date: 1-Dec-2002.
  165. ACM
    Martínez J and Torrellas J Speculative synchronization Proceedings of the 10th international conference on Architectural support for programming languages and operating systems, (18-29)
  166. ACM
    Martínez J and Torrellas J (2002). Speculative synchronization, ACM SIGPLAN Notices, 37:10, (18-29), Online publication date: 1-Oct-2002.
  167. ACM
    Mauer C, Hill M and Wood D Full-system timing-first simulation Proceedings of the 2002 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, (108-116)
  168. ACM
    Mauer C, Hill M and Wood D (2002). Full-system timing-first simulation, ACM SIGMETRICS Performance Evaluation Review, 30:1, (108-116), Online publication date: 1-Jun-2002.
  169. Ramanujam J, Krishnamurthy S, Hong J and Kandemir M Address Code and Arithmetic Optimizations for Embedded Systems Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  170. Martin M, Sorin D, Cain H, Hill M and Lipasti M Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture, (328-337)
  171. ACM
    Czajkowski G and Daynès L (2001). Multitasking without comprimise, ACM SIGPLAN Notices, 36:11, (125-138), Online publication date: 1-Nov-2001.
  172. ACM
    Czajkowski G and Daynès L Multitasking without comprimise Proceedings of the 16th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications, (125-138)
  173. Lee J and Padua D (2001). Hiding Relaxed Memory Consistency with a Compiler, IEEE Transactions on Computers, 50:8, (824-833), Online publication date: 1-Aug-2001.
  174. Flood C, Detlefs D, Shavit N and Zhang X Parallel garbage collection for shared memory multiprocessors Proceedings of the 2001 Symposium on JavaTM Virtual Machine Research and Technology Symposium - Volume 1, (21-21)
  175. Dice D Implementing fast javaTM monitors with relaxed-locks Proceedings of the 2001 Symposium on JavaTM Virtual Machine Research and Technology Symposium - Volume 1, (13-13)
  176. Golshan F Test and On-line Debug Capabilities of IEEE Std 1149.1 in UltraSPARC"-III Microprocessor Proceedings of the 2000 IEEE International Test Conference
  177. ACM
    Bacon D, Strom R and Tarafdar A (2000). Guava, ACM SIGPLAN Notices, 35:10, (382-400), Online publication date: 1-Oct-2000.
  178. ACM
    Maessen J and Shen X (2000). Improving the Java memory model using CRF, ACM SIGPLAN Notices, 35:10, (1-12), Online publication date: 1-Oct-2000.
  179. ACM
    Bacon D, Strom R and Tarafdar A Guava Proceedings of the 15th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications, (382-400)
  180. ACM
    Maessen J and Shen X Improving the Java memory model using CRF Proceedings of the 15th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications, (1-12)
  181. ACM
    Hill M, Condon A, Plakal M and Sorin D A system-level specification framework for I/O architectures Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures, (138-147)
  182. ACM
    Pugh W Fixing the Java memory model Proceedings of the ACM 1999 conference on Java Grande, (89-98)
  183. Sanchez E, Haenni J, Beuchat J, Stauffer A, Perez-Uribe A and Sipper M (1999). Static and Dynamic Configurable Systems, IEEE Transactions on Computers, 48:6, (556-564), Online publication date: 1-Jun-1999.
  184. Shen X, Arvind and Rudolph L Commit-reconcile & fences (CRF) Proceedings of the 26th annual international symposium on Computer architecture, (150-161)
  185. ACM
    Shen X, Arvind and Rudolph L (1999). Commit-reconcile & fences (CRF), ACM SIGARCH Computer Architecture News, 27:2, (150-161), Online publication date: 1-May-1999.
  186. Horel T and Lauterbach G (1999). UltraSPARC-III, IEEE Micro, 19:3, (73-85), Online publication date: 1-May-1999.
  187. Ip C and Dill D (1999). Verifying Systems with Replicated Components in Mur𝛟, Formal Methods in System Design, 14:3, (273-310), Online publication date: 1-May-1999.
  188. Clinger W, Hartheimer A and Ost E (1999). Implementation Strategies for First-Class Continuations, Higher-Order and Symbolic Computation, 12:1, (7-45), Online publication date: 1-Apr-1999.
  189. Park S and Dill D (1999). An Executable Specification and Verifier for Relaxed Memory Order, IEEE Transactions on Computers, 48:2, (227-235), Online publication date: 1-Feb-1999.
  190. ACM
    Lynch W, Lauterbach G and Chamdani J (1998). Low load latency through sum-addressed memory (SAM), ACM SIGARCH Computer Architecture News, 26:3, (369-379), Online publication date: 1-Jun-1998.
  191. ACM
    Ghughal R, Mokkedem A, Nalumasu R and Gopalakrishnan G Using “test model-checking” to verify the Runway-PA8000 memory model Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures, (231-239)
  192. Lynch W, Lauterbach G and Chamdani J Low load latency through sum-addressed memory (SAM) Proceedings of the 25th annual international symposium on Computer architecture, (369-379)
  193. Henkel J and Li Y Energy-conscious HW/SW-partitioning of embedded systems Proceedings of the 6th international workshop on Hardware/software codesign, (23-27)
  194. Normoyle K, Csoppenszky M, Tzeng A, Johnson T, Furman C and Mostoufi J (1998). UltraSPARC-IIi, IEEE Micro, 18:2, (14-24), Online publication date: 1-Mar-1998.
  195. Pong F, Browne M, Nowatzyk A, Dubois M and Aybay G (1998). Design Verification of the S3.mp Cache-Coherent Shared-Memory System, IEEE Transactions on Computers, 47:1, (135-140), Online publication date: 1-Jan-1998.
  196. ACM
    Teodosiu D, Baxter J, Govil K, Chapin J, Rosenblum M and Horowitz M Hardware fault containment in scalable shared-memory multiprocessors Proceedings of the 24th annual international symposium on Computer architecture, (73-84)
  197. ACM
    Ranganathan P, Pai V and Adve S Using speculative retirement and larger instruction windows to narrow the performance gap between memory consistency models Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures, (199-210)
  198. ACM
    Teodosiu D, Baxter J, Govil K, Chapin J, Rosenblum M and Horowitz M (1997). Hardware fault containment in scalable shared-memory multiprocessors, ACM SIGARCH Computer Architecture News, 25:2, (73-84), Online publication date: 1-May-1997.
  199. ACM
    Pai V, Ranganathan P, Adve S and Harton T (1996). An evaluation of memory consistency models for shared-memory systems with ILP processors, ACM SIGOPS Operating Systems Review, 30:5, (12-23), Online publication date: 1-Dec-1996.
  200. Adve S and Gharachorloo K (1996). Shared Memory Consistency Models, Computer, 29:12, (66-76), Online publication date: 1-Dec-1996.
  201. ACM
    Pai V, Ranganathan P, Adve S and Harton T An evaluation of memory consistency models for shared-memory systems with ILP processors Proceedings of the seventh international conference on Architectural support for programming languages and operating systems, (12-23)
  202. ACM
    Pai V, Ranganathan P, Adve S and Harton T (1996). An evaluation of memory consistency models for shared-memory systems with ILP processors, ACM SIGPLAN Notices, 31:9, (12-23), Online publication date: 1-Sep-1996.
  203. Tremblay M, O'Connor J, Narayanan V and He L (1996). VIS Speeds New Media Processing, IEEE Micro, 16:4, (10-20), Online publication date: 1-Aug-1996.
  204. ACM
    Mukherjee S, Falsafi B, Hill M and Wood D Coherent network interfaces for fine-grain communication Proceedings of the 23rd annual international symposium on Computer architecture, (247-258)
  205. ACM
    Mukherjee S, Falsafi B, Hill M and Wood D (1996). Coherent network interfaces for fine-grain communication, ACM SIGARCH Computer Architecture News, 24:2, (247-258), Online publication date: 1-May-1996.
  206. Tremblay M and O'Connor J (1996). UltraSparc I, IEEE Micro, 16:2, (42-50), Online publication date: 1-Apr-1996.
  207. Goldman G and Tirumalai P UltraSPARC-II Proceedings of the 41st IEEE International Computer Conference
  208. Tirumalai P, Greenley D, Beylin B and Subramanian K UltraSPARC Proceedings of the 41st IEEE International Computer Conference
  209. ACM
    Hamblen J, Owen H, Yalamanchili S and Dao B Using rapid prototyping in computer architecture design laboratories Proceedings of the 1996 workshop on Computer architecture education, (4-es)
  210. ACM
    Park S and Dill D An executable specification, analyzer and verifier for RMO (relaxed memory order) Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures, (34-41)
  211. ACM
    Panwar R and Rennels D Reducing the frequency of tag compares for low power I-cache design Proceedings of the 1995 international symposium on Low power design, (57-62)
  212. ACM
    Tremblay M, Maturana G, Inoue A and Kohn L A fast and flexible performance simulator for micro-architecture trade-off analysis on UltraSPARC-I Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (2-6)
  213. ACM
    Skudlarek J (1994). Remarks on A methodology for implementing highly concurrent data, ACM SIGPLAN Notices, 29:12, (87-93), Online publication date: 1-Dec-1994.
  214. ACM
    Barach D, Kohli J, Slice J, Spaulding M, Bharadhwaj R, Hudson D, Neighbors C, Saxena N and Crunk R (1994). HALSIM—a very fast SPARC V9 behavioral model, ACM SIGARCH Computer Architecture News, 22:1, (52-58), Online publication date: 1-Mar-1994.
  215. ACM
    Kubiatowicz J and Agarwal A Anatomy of a message in the Alewife multiprocessor Proceedings of the 7th international conference on Supercomputing, (195-206)
  216. Hamilton G and Kougiouris P The spring nucleus Proceedings of the USENIX Summer 1993 Technical Conference on Summer technical conference - Volume 1, (1-15)
Contributors

Index Terms

  1. The SPARC architecture manual (version 9)

      Recommendations