skip to main content
Skip header Section
Computer Organization and Design: The Hardware/Software InterfaceJune 2007
Publisher:
  • Morgan Kaufmann Publishers Inc.
  • 340 Pine Street, Sixth Floor
  • San Francisco
  • CA
  • United States
ISBN:978-0-12-370606-5
Published:01 June 2007
Pages:
621
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

What's New in the Third Edition, Revised Printing The same great book gets better! This revised printing features all of the original content along with these additional features:. Appendix A (Assemblers, Linkers, and the SPIM Simulator) has been moved from the CD-ROM into the printed book. Corrections and bug fixesThird Edition featuresNew pedagogical features.Understanding Program Performance -Analyzes key performance issues from the programmer's perspective .Check Yourself Questions -Helps students assess their understanding of key points of a section .Computers In the Real World -Illustrates the diversity of applications of computing technology beyond traditional desktop and servers .For More Practice -Provides students with additional problems they can tackle .In More Depth -Presents new information and challenging exercises for the advanced student New reference features .Highlighted glossary terms and definitions appear on the book page, as bold-faced entries in the index, and as a separate and searchable reference on the CD. .A complete index of the material in the book and on the CD appears in the printed index and the CD includes a fully searchable version of the same index. .Historical Perspectives and Further Readings have been updated and expanded to include the history of software R&D. .CD-Library provides materials collected from the web which directly support the text. In addition to thoroughly updating every aspect of the text to reflect the most current computing technology, the third edition .Uses standard 32-bit MIPS 32 as the primary teaching ISA. .Presents the assembler-to-HLL translations in both C and Java. .Highlights the latest developments in architecture in Real Stuff sections: -Intel IA-32 -Power PC 604 -Google's PC cluster -Pentium P4 -SPEC CPU2000 benchmark suite for processors -SPEC Web99 benchmark for web servers -EEMBC benchmark for embedded systems -AMD Opteron memory hierarchy -AMD vs. 1A-64 New support for distinct course goals Many of the adopters who have used our book throughout its two editions are refining their courses with a greater hardware or software focus. We have provided new material to support these course goals: New material to support a Hardware Focus .Using logic design conventions .Designing with hardware description languages .Advanced pipelining .Designing with FPGAs .HDL simulators and tutorials .Xilinx CAD tools New material to support a Software Focus .How compilers work .How to optimize compilers .How to implement object oriented languages .MIPS simulator and tutorial .History sections on programming languages, compilers, operating systems and databases On the CD.NEW: Search function to search for content on both the CD-ROM and the printed text.CD-Bars: Full length sections that are introduced in the book and presented on the CD .CD-Appendixes: Appendices B-D .CD-Library: Materials collected from the web which directly support the text .CD-Exercises: For More Practice provides exercises and solutions for self-study.In More Depth presents new information and challenging exercises for the advanced or curious student .Glossary: Terms that are defined in the text are collected in this searchable reference .Further Reading: References are organized by the chapter they support .Software: HDL simulators, MIPS simulators, and FPGA design tools .Tutorials: SPIM, Verilog, and VHDL .Additional Support: Processor Models, Labs, Homeworks, Index covering the book and CD contents Instructor Support Instructor support provided on textbooks.elsevier.com:.Solutions to all the exercises .Figures from the book in a number of formats .Lecture slides prepared by the authors and other instructors .Lecture notes

Cited By

  1. ACM
    Dankov Y Conceptual Model of User Interface Design for General Architectural Framework for Business Visual Analytics Proceedings of the 20th International Conference on Computer Systems and Technologies, (251-254)
  2. ACM
    Carloni L, Cota E, Guglielmo G, Giri D, Kwon J, Mantovani P, Piccolboni L and Petracca M Teaching Heterogeneous Computing with System-Level Design Methods Proceedings of the Workshop on Computer Architecture Education, (1-8)
  3. Liao C, Lee S, Chiou Y, Lee C and Lee C (2018). Power consumption minimization by distributive particle swarm optimization for luminance control and its parallel implementations, Expert Systems with Applications: An International Journal, 96:C, (479-491), Online publication date: 15-Apr-2018.
  4. Stanley T, Doggett D, Cook L and Fairclough D (2016). Simple emulated computer improvements to facilitate understanding in introductory computer programming and computer organization/architecture classes, Journal of Computing Sciences in Colleges, 31:4, (56-62), Online publication date: 1-Apr-2016.
  5. ACM
    Barbalace A, Sadini M, Ansary S, Jelesnianski C, Ravichandran A, Kendir C, Murray A and Ravindran B Popcorn Proceedings of the Tenth European Conference on Computer Systems, (1-16)
  6. ACM
    Olorode O and Nourani M (2015). Improving Performance in Sub-Block Caches with Optimized Replacement Policies, ACM Journal on Emerging Technologies in Computing Systems, 11:4, (1-22), Online publication date: 27-Apr-2015.
  7. ACM
    Olorode O and Nourani M Static power reduction in caches using deterministic naps Proceedings of the tenth ACM/IEEE symposium on Architectures for networking and communications systems, (251-252)
  8. Farbeh H and Miremadi S PSP-cache Proceedings of the conference on Design, Automation & Test in Europe, (1-4)
  9. ACM
    Sideropoulos T and Pitsianis N A cycle-accurate synthesizable MIPS simulator in Simulink Proceedings of Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and Design Tools and Architectures for Multicore Embedded Computing Platforms, (17-20)
  10. Tisi M, Martínez S and Choura H Parallel Execution of ATL Transformation Rules Proceedings of the 16th International Conference on Model-Driven Engineering Languages and Systems - Volume 8107, (656-672)
  11. Pessanha F, de Macedo Mourelle L, Nedjah N and de Souza e Silva Júnior L Implementing an interconnection network based on crossbar topology for parallel applications in MPSoC Proceedings of the 13th international conference on Computational Science and Its Applications - Volume 1, (511-525)
  12. ACM
    Kinsy M, Pellauer M and Devadas S Heracles Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays, (125-134)
  13. ACM
    Lee C, Garcia S and Porter L (2013). Can peer instruction be effective in upper-division computer science courses?, ACM Transactions on Computing Education, 13:3, (1-22), Online publication date: 1-Aug-2013.
  14. ACM
    Porter L, Garcia S, Tseng H and Zingaro D Evaluating student understanding of core concepts in computer architecture Proceedings of the 18th ACM conference on Innovation and technology in computer science education, (279-284)
  15. ACM
    Gousie M and Teresco J Helping students understand the datapath with simulators and crazy models Proceeding of the 44th ACM technical symposium on Computer science education, (329-334)
  16. ACM
    Lee J, Youn J, Cho D and Paek Y (2013). Reducing instruction bit-width for low-power VLIW architectures, ACM Transactions on Design Automation of Electronic Systems, 18:2, (1-32), Online publication date: 1-Mar-2013.
  17. Stanley T, Chetty V, Styles M, Jung S, Duarte F, Lee T, Gunter M and Fife L (2012). Teaching computer architecture through simulation, Journal of Computing Sciences in Colleges, 27:4, (37-44), Online publication date: 1-Apr-2012.
  18. ACM
    Basu A, Hill M and Swift M (2012). Reducing memory reference energy with opportunistic virtual caching, ACM SIGARCH Computer Architecture News, 40:3, (297-308), Online publication date: 5-Sep-2012.
  19. Basu A, Hill M and Swift M Reducing memory reference energy with opportunistic virtual caching Proceedings of the 39th Annual International Symposium on Computer Architecture, (297-308)
  20. ACM
    Huang Y, Lu Y, Wu H and Tsay R A non-intrusive timing synchronization interface for hardware-assisted HW/SW co-simulation Proceedings of the 49th Annual Design Automation Conference, (127-132)
  21. Kotman L and Nandigam J (2012). The GAP Logic Circuit Simulator tool, Journal of Computing Sciences in Colleges, 28:1, (114-124), Online publication date: 1-Oct-2012.
  22. ACM
    Kramer W How to measure useful, sustained performance State of the Practice Reports, (1-18)
  23. Cunha M, Coutinho A and Telles J On the vectorization of engineering codes using multimedia instructions Proceedings of the 9th international conference on High performance computing for computational science, (263-270)
  24. Habgood K and Arel I Revisiting Cramer's rule for solving dense linear systems Proceedings of the 2010 Spring Simulation Multiconference, (1-8)
  25. Tiesel J and Maida A Using parallel GPU architecture for simulation of planar I/F networks Proceedings of the 2009 international joint conference on Neural Networks, (754-759)
  26. Donaldson J, Salter R, Kramer-Miller J, Egorov S and Singhal A Illustrating CPU design concepts with DLSim 3 Proceedings of the 39th IEEE international conference on Frontiers in education conference, (1098-1103)
  27. ElAarag H (2009). A complete design of a RISC processor for pedagogical purposes, Journal of Computing Sciences in Colleges, 25:2, (205-213), Online publication date: 1-Dec-2009.
  28. Fan C and Su G (2009). Efficient fast 1-D 8 × 8 inverse integer transform for VC-1 application, IEEE Transactions on Circuits and Systems for Video Technology, 19:4, (584-590), Online publication date: 1-Apr-2009.
  29. ACM
    Paakkulainen J, Mäkelä J, Leppänen V and Forsell M Outline of RISC-based core for multiprocessor on chip architecture supporting moving threads Proceedings of the International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing, (1-6)
  30. ACM
    Prokopov S and Tyanev D Hardware implementation of strategies for servicing queues Proceedings of the International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing, (1-8)
  31. ACM
    Marcilio G, Santos L, Albertini B and Rigo S A novel verification technique to uncover out-of-order DUV behaviors Proceedings of the 46th Annual Design Automation Conference, (448-453)
  32. ACM
    Asanovic K, Bodik R, Demmel J, Keaveny T, Keutzer K, Kubiatowicz J, Morgan N, Patterson D, Sen K, Wawrzynek J, Wessel D and Yelick K (2009). A view of the parallel computing landscape, Communications of the ACM, 52:10, (56-67), Online publication date: 1-Oct-2009.
  33. ACM
    Brandner F Precise simulation of interrupts using a rollback mechanism Proceedings of th 12th International Workshop on Software and Compilers for Embedded Systems, (71-80)
  34. ACM
    Poplawski D and Kurmas Z JLS/JLSCircuitTester Proceedings of the 8th International Conference on Computing Education Research, (105-108)
  35. Beck A, Rutzig M and Carro L Advantages of java processors in cache performance and power for embedded applications Proceedings of the 6th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation, (321-330)
  36. Alameldeen A and Wood D (2006). IPC Considered Harmful for Multiprocessor Workloads, IEEE Micro, 26:4, (8-17), Online publication date: 1-Jul-2006.
  37. ACM
    Beck A, Rutzig M and Carro L Cache performance impacts for stack machines in embedded systems Proceedings of the 19th annual symposium on Integrated circuits and systems design, (155-160)
  38. Garzón E, García I and Fernández J An approach to teaching computer arithmetic Proceedings of the 5th international conference on High performance computing for computational science, (269-283)
  39. Popoola B and Gough P Evaluating the performance of space plasma simulations using FPGA's Proceedings of the 5th international conference on High performance computing for computational science, (242-254)
  40. Ramanadin B and Pogodalla F CO Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96)
Contributors
  • University of California, Berkeley
  • Stanford University

Recommendations