skip to main content
research-article
Open Access

Verilog HDL and its ancestors and descendants

Published:12 June 2020Publication History
Skip Abstract Section

Abstract

This paper describes the history of the Verilog hardware description language (HDL), including its influential predecessors and successors. Since its creation in 1984 and first sale in 1985, Verilog has completely revolutionized the design of hardware. Verilog enabled the development and wide acceptance of logic synthesis. For large-scale digital logic design, previous schematic-based techniques have transformed into textual register-transfer level (RTL) descriptions written in Verilog. As of 2018 about 80% of integrated circuit design teams worldwide use Verilog and its compatible descendant SystemVerilog.

References

  1. Accellera. 2002a. SystemVerilog 3.0 Accellera’s Extensions to Verilog. Standard. Accellera Organization, Napa, CA, USA. non-archival http://www.eda-twiki.org/sv-ec/3.0_LRM.pdf .Google ScholarGoogle Scholar
  2. Accellera. 2002b. VHDL Users Group / VHDL International Users’ Forum (VUG/VIUF) Collected Conference Proceedings. Accellera. Archived at https://web.archive.org/web/20020803031109/http://eda.org/VIUF_proc/ .Google ScholarGoogle Scholar
  3. Accellera. 2003. SystemVerilog 3.1, Accellera’s Extensions to Verilog. Standard. Accellera Organization, Napa, CA, USA. non-archival http://www.eda-twiki.org/sv-ec/SystemVerilog_3.1_final.pdf (also at Internet Archive 7 April 2020 14:23:40 ).Google ScholarGoogle Scholar
  4. Accellera. 2004a. Property Specification Language Reference Manual Version 1.1. Standard. Accellera Organization, Napa, CA, USA. Archived at https://web.archive.org/web/20040913230716/http://www.eda.org/vfv/docs/PSL-v1.1.pdf .Google ScholarGoogle Scholar
  5. Accellera. 2004b. SystemVerilog 3.1a Language Reference Manual, Accellera’s Extensions to Verilog. Standard. Accellera Organization, Napa, CA, USA. Archived at https://web.archive.org/web/20040626122728/http://www.eda.org/sv/SystemVerilog_ 3.1a.pdf .Google ScholarGoogle Scholar
  6. Accellera. 2011. Universal Verification Methodology (UVM) 1.0 Class Reference. Standard. Accellera Organization, Napa, CA, USA. non-archival https://www.accellera.org/images/downloads/standards/uvm/UVM_Class_Reference_Manual_1.0. pdf .Google ScholarGoogle Scholar
  7. Accellera. 2014. Verilog-AMS Language Reference Manual 2.4.0. Standard. Accellera Systems Initiative, Napa, CA, USA. non-archival https://www.accellera.org/images/downloads/standards/v-ams/VAMS-LRM-2-4.pdf .Google ScholarGoogle Scholar
  8. Roy Armoni, Limor Fix, Alon Flaisher, Rob Gerth, Boris Ginsburg, Tomer Kanza, Avner Landver, Sela Mador-Haim, Eli Singerman, Andreas Tiemeyer, Moshe Y. Vardi, and Yael Zbar. 2002. The ForSpec Temporal Logic: A New Temporal Property-Specification Language. In Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems (Grenoble, France, 2002-04-08/2002-04-12) (TACAS ’02). Springer-Verlag, Berlin, Heidelberg, Germany, 296–311.Google ScholarGoogle ScholarCross RefCross Ref
  9. Ken Arnold and James Gosling. 1998. The Java Programming Language (second ed.). Addison-Wesley, New York, NY, USA.Google ScholarGoogle Scholar
  10. Peggy Aycinena. 2005. Phil Moorby - 2005 Kaufman Award. EDA Confidential (8 nov). Archived at https://web.archive.org/ web/20060325053055/http://www.aycinena.com/index2/index3/archive/phil%20moorby.html . Ayciena gives a report on the awards dinner, and includes an interview with Phil Moorby.Google ScholarGoogle Scholar
  11. Mike Baird. 1998. IVC/VIUF HDL Conference. OVI & VI. Archived at https://web.archive.org/web/19980202191343/http: //www.hdlcon.org/toppage.html .Google ScholarGoogle Scholar
  12. Mike Baird. 1999. The International HDL Conference and Exhibition. HDLCon. Archived at https://web.archive.org/web/ 19990208004605/http://hdlcon.org/ .Google ScholarGoogle Scholar
  13. Thomas C. Bartee. 1960. Digital computer fundamentals. McGraw-Hill, New York, NY, USA. The original 1960 edition is a classic early text on computer hardware. At the time, Bartee was at MIT Lincoln Laboratory.Google ScholarGoogle Scholar
  14. Ilan Beer, Shoham Ben-David, Cindy Eisner, Dana Fisman, Anna Gringauze, and Yoav Rodeh. 2001. The Temporal Logic Sugar. In Proceedings of the 13th International Conference on Computer Aided Verification (Paris, France, 2001-07-18/2001-07-22) (CAV ’01). Springer-Verlag, Berlin, Heidelberg, Germany, 363–367.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. C. Gordon Bell. 1991. High-Tech Ventures. Addison-Wesley, Reading, MA, USA. Scan available at non-archival https: //gordonbell.azurewebsites.net/CGB%20Files/High-Tech%20Ventures%201991%20c.pdf (also at Internet Archive 31 March 2020 15:17:55 ). Pages 289–299 discuss Gateway Design Automation.Google ScholarGoogle Scholar
  16. Nuel D. Belnap, Jr. 1977. A Useful Four-Valued Logic. In Modern Uses of Multiple-Valued Logic, J. Michael Dunn and George Epstein (Eds.). Springer Netherlands, Dordrecht, 5–37. Google ScholarGoogle ScholarCross RefCross Ref
  17. Michael J. Bending. 1984. Hitest: A Knowledge-Based Test Generation System. IEEE Design Test of Computers 1, 2 (may), 83–92. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Janick Bergeron, Eduard Cerny, Alan Hunter, and Andy Nightingale. 2005. Verification Methodology Manual for SystemVerilog. Springer-Verlag, Berlin, Heidelberg, Germany.Google ScholarGoogle Scholar
  19. Neil C. Berglund. 1979. Level-Sensitive Scan Design Tests Chips, Boards, System. Electronics 52, 6 (March), 108–110.Google ScholarGoogle Scholar
  20. Dominique Borrione, Robert Piloty, Dwight Hill, Karl J. Lieberherr, and Philip Moorby. 1992. Three Decades of HDLs, Part II: Conlan Through Verilog. IEEE Design & Test of Computers 9, 3 (sep), 54–63. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Robert K. Brayton, Gary D. Hachtel, Curtis T. McMullen, and Alberto L. Sangiovanni-Vincentelli. 1984. Logic Minimization Algorithms for VLSI Synthesis. Kluwer Academic Publishers, Boston, MA, USA.Google ScholarGoogle Scholar
  22. Cadence. 2000. TestBuilder. Cadence Design Systems, San Jose, CA, USA. Archived at https://web.archive.org/web/ 20001018010606/http://www.testbuilder.net/ .Google ScholarGoogle Scholar
  23. Cadence and Mentor Graphics. 2008. Open Verification Methodology. Cadence and Mentor Graphics (9 Jan.). Archived at https://web.archive.org/web/20080115101515/http://www.ovmworld.org/ .Google ScholarGoogle Scholar
  24. Michael Carroll. 1993. VHDL—panacea or hype? IEEE Spectrum 30, 6 (jun), 34–37. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Kai-Hui Chang and Chris Browy. 2012. Improving Gate-Level Simulation Accuracy When Unknowns Exist. In Proceedings of the 49th Annual Design Automation Conference (San Francisco, CA, USA, 2012-06-03/2012-06-07) (DAC ’12). Association for Computing Machinery, New York, NY, USA, 936–940. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. CHM. 2015. Computer History Museum Announces its 2016 Fellow Award Honorees. Computer History Museum (17 nov). Archived at https://web.archive.org/web/20200327134657/https://computerhistory.org/press-releases/fellows-2016/ .Google ScholarGoogle Scholar
  27. CHM. 2016. Philip Moorby. Computer History Museum. Archived at https://web.archive.org/web/20200331001046/ https://computerhistory.org/profile/philip-moorby/ . Video at https://www.youtube.com/watch?v=pUmCdQVukrg . See earlier version at https://web.archive.org/web/20161003015054/https://www.computerhistory.org/fellowawards/hall/ Philip-Moorby/ . Also see this page about the 2016 Fellow Awards https://web.archive.org/web/20191003110953/https: //computerhistory.org/blog/2016-chm-fellow-awards/ .Google ScholarGoogle Scholar
  28. Peter Clarke. 1999. Startup to field next-generation design language. Electronic Engineering Times (1 June). Archived at https://web.archive.org/web/20001211142400/http://www.edtn.com:80/story/tech/OEG19990531S0003-R . An informative article that provides a very good summary of Co-Design and its vision for Superlog. Also mentions Co-Design’s early investors.Google ScholarGoogle Scholar
  29. Ronald Collett. 1993. VHDL by TKO. Electronic Engineering Times (4 Jan.), 42. Scan available at https://web.archive.org/ web/20200331183910/https://trilobyte.com/pdf/VHDL_by_TKO_Collett_1993-01-04.pdf .Google ScholarGoogle Scholar
  30. John A. Darringer. 1968. A Language for the Description of Digital Computer Processors. In Proceedings of the 5th Annual Design Automation Workshop (Washington, DC, USA, 1968-07-15/1968-07-18) (DAC ’68). Association for Computing Machinery, New York, NY, USA, 15.1–15.18. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Roger Dettmer. 2004. The HILO inheritance. IEE Review 50, 8 (aug), 22–26. Google ScholarGoogle ScholarCross RefCross Ref
  32. Surrendra Dudani and Eduard Cerny. 2003. Authoring assertion IP using OpenVera assertion language. Design And Reuse (7 Feb.). https://www.design-reuse.com/articles/4835/authoring-assertion-ip-using-openvera-assertion-language.html (also at Internet Archive 8 Sept. 2009 20:33:49 ).Google ScholarGoogle Scholar
  33. James R. Duley and Donald L. Dietmeyer. 1968. A Digital System Design Language (DDL). IEEE Trans. Comput. C-17, 9 (sep), 850–861. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. EDAC. 2005. Phil Moorby Selected to Receive EDA Industry’s Kaufman Award. The EDA Consortium (10 oct). Archived at https://web.archive.org/web/20200330224958/http://esd-alliance.org/wp-content/uploads/PDFs/PhilKaufmanAward/ 05-10-10_PK_Award_FINAL.pdf . Press release from EDA Consortium.Google ScholarGoogle Scholar
  35. Edward B. Eichelberger. 1965. Hazard Detection in Combinational and Sequential Switching Circuits. IBM Journal of Research and Development 9, 2 (March), 90–99. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Edward B. Eichelberger and Thomas W. Williams. 1977. A Logic Design Structure for LSI Testability. In Proceedings of the 14th Design Automation Conference (New Orleans, LA, USA, 1977-06-20/1977-06-22) (DAC ’77 ). IEEE Press, New York, NY, USA, 462–468.Google ScholarGoogle Scholar
  37. Limor Fix. 2008. Fifteen Years of Formal Property Verification in Intel. In 25 Years of Model Checking, Orna Grumberg and Helmut Veith (Eds.). Lecture Notes in Computer Science, Vol. 5000. Springer, Berlin, Heidelberg, Germany, 139–144. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Peter L. Flake and Simon J. Davidmann. 2000. Superlog, a Unified Design Language for System-on-Chip. In Proceedings of the 2000 Asia and South Pacific Design Automation Conference (Yokohama, Japan, 2000-01-25/2000-01-28) (ASP-DAC ’00). Association for Computing Machinery, New York, NY, USA, 583–586. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Peter L. Flake, Philip R. Moorby, and Gerry Musgrave. 1980. Logic Simulation of Bi-directional Tri-state Gates. In IEEE International Conference on Circuits and Computers (Port Chester, NY, USA, 1980-10-01/1980-10-03) (ICCC 80). IEEE, New York, NY, USA, 594–600.Google ScholarGoogle Scholar
  40. Peter L. Flake, Philip R. Moorby, and Gerry Musgrave. 1981. HILO Mark 2 Hardware Description Language. In Computer Hardware Description Languages and Their Applications, Melvin A. Breuer and Reiner Hartenstein (Eds.). North-Holland, Amsterdam, Netherlands, 95–108. This is Proceedings of the IFIP TC-10 Fifth International Conference on Computer Hardware Description Languages and Their Applications (Kaiserslautern, Germany) (CHDL ’81), 7–9 Sep 1981.Google ScholarGoogle Scholar
  41. Peter L. Flake, Philip R. Moorby, and Gerry Musgrave. 1983. An Algebra for Logic Strength Simulation. In Proceedings of the 20th Design Automation Conference (Miami Beach, FL, USA, 1983-06-27/1983-06-29) (DAC ’83). IEEE Press, New York, NY, USA, 615–618.Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Peter L. Flake, Gerry Musgrave, and Mike Shorland. 1975a. The HILO logic simulation language. In International Symposium on Computer Hardware Description Languages and Their Applications (New York, NY, USA, 1975-09-03/1975-09-05) (CHDL ’75). IEEE, New York, NY, USA, 134–142. IEEE catalog 75CH1010-8C.Google ScholarGoogle Scholar
  43. Peter L. Flake, Gerry Musgrave, and Ian J. White. 1974. HILO—A Logic System Simulator. In International Conference on Computer Aided Design (The University Of Southampton, UK, 1974-04-08/1974-04-11). Institution of Electrical Engineers, London, UK, 130–136. IEE Conference Publication 111.Google ScholarGoogle Scholar
  44. Peter L. Flake, Gerry Musgrave, and Ian J. White. 1975b. A Digital Systems Simulator—HILO. Digital Processes 1, 1, 39–53. Georgi Publishing Co.Google ScholarGoogle Scholar
  45. FSF. 2000. Bison. Free Software Foundation. Archived at https://web.archive.org/web/20000831181346/http://www.gnu.org/ software/bison/ .Google ScholarGoogle Scholar
  46. Prabhu Goel. 2017. Prabhu Goel, Silicon Valley. In The $8 Man, Brenda H. Christensen (Ed.). Redtop Publishing, Woodside, CA, USA, 51–76. Excerpt available at non-archival https://www.the8dollarman.com/bios-8-men (also at Internet Archive 19 March 2019 14:29:36 ). Oral histories of Indian immigrants to the United States in 1960s–1970s.Google ScholarGoogle Scholar
  47. Richard Goering. 2005. Verilog’s inventor nabs EDA’s Kaufman award. Electronic Engineering Times (7 Nov.). nonarchival https://www.eetimes.com/verilogs-inventor-nabs-edas-kaufman-award/ . Also see this Usenet posting in comp.lang.verilog non-archival https://groups.google.com/forum/#!searchin/comp.lang.verilog/inventor\protect\T1\ textdollar20nabs\protect\T1\textdollar20kaufman/comp.lang.verilog/BND2Y24RSrI/d7AwwvmrQW4J .Google ScholarGoogle Scholar
  48. Robert L. Harris, Simon J. Davidmann, and Gerry Musgrave. 1984a. HILO-2 - a system to build on. In 6th International Conference and Exhibition on Computers in Design Engineering (Brighton, UK, 1984-04-03/1984-04-05) (CAD84). Butterworths, Guildford, Surrey, UK, 48–60. non-archival https://www.elsevier.com/books/cad84/wexler/978-0-408-01440-3 . Scanned version available at non-archival https://books.google.com/books?id=zgL9BAAAQBAJ&pg=PA48 .Google ScholarGoogle ScholarCross RefCross Ref
  49. Robert L. Harris, Gerry Musgrave, and Simon J. Davidmann. 1984b. HILO-2 - a system to build on. Computer-Aided Design 16, 2 (mar), 104.Google ScholarGoogle ScholarCross RefCross Ref
  50. Reiner Hartenstein. 2018. The History of CHDL Conferences. Archived at https://web.archive.org/web/20180829003543/http: //hartenstein.de/CHDL/Google ScholarGoogle Scholar
  51. Chi-lai Huang. 1981. Computer-aided logic synthesis based on a new multi-level hardware design language—LALSD II. Ph.D. Dissertation. State University of New York, Binghamton, NY, USA. Advisor(s) Stephen Y. H. Su. non-archival https://suny-bin.primo.exlibrisgroup.com/permalink/01SUNY_BIN/1igql2k/proquest303100438 .Google ScholarGoogle Scholar
  52. Brian Hunter. 2016. Advanced UVM (second ed.). CreateSpace, Scotts Valley, CA, USA.Google ScholarGoogle Scholar
  53. IEEE. 1974. Hardware Description Languages. IEEE Computer 7, 12 (dec), 1–85. Google ScholarGoogle ScholarCross RefCross Ref
  54. IEEE 1076-1987. IEEE Standard VHDL Language Reference Manual. IEEE, New York, NY, USA. https://standards.ieee.org/ standard/1076-1987.html . IEEE Std 1076-1987.Google ScholarGoogle Scholar
  55. IEEE 1076-1993. IEEE Standard VHDL Language Reference Manual. IEEE, New York, NY, USA. https://standards.ieee.org/ standard/1076-1993.html . IEEE Std 1076-1993.Google ScholarGoogle Scholar
  56. IEEE 1076.4-1995. IEEE Standard VITAL Application-Specific Integrated Circuit (ASIC) Modeling Specification. IEEE, New York, NY, USA. https://standards.ieee.org/standard/1076_4-1995.html . IEEE Std 1076.4-1995.Google ScholarGoogle Scholar
  57. IEEE 1164-1993. IEEE Standard Multivalue Logic System for VHDL Model Interoperability (Std_logic_1164). IEEE, New York, NY, USA. http://standards.ieee.org/standard/1164-1993.html . IEEE Std 1164-1993.Google ScholarGoogle Scholar
  58. IEEE 1364-1995. IEEE Standard Hardware Description Language Based on the Verilog Hardware Description Language. IEEE, New York, NY, USA. https://standards.ieee.org/standard/1364-1995.html . IEEE Std 1364-1995.Google ScholarGoogle Scholar
  59. IEEE 1364-2001. IEEE Standard Verilog Hardware Description Language. IEEE, New York, NY, USA. https://standards.ieee. org/standard/1364-2001.html . IEEE Std 1364-2001.Google ScholarGoogle Scholar
  60. IEEE 1364-2002. IEEE Standard for Verilog Register Transfer Level Synthesis. IEEE, New York, NY, USA. https://standards. ieee.org/standard/1364_1-2002.html . IEEE Std 1364.1-2002.Google ScholarGoogle Scholar
  61. IEEE 1364-2005. IEEE Standard Verilog Hardware Description Language. IEEE, New York, NY, USA. https://standards.ieee. org/standard/1364-2005.html . IEEE Std 1364-2005.Google ScholarGoogle Scholar
  62. IEEE 1497-2001. IEEE Standard for Standard Delay Format (SDF) for the Electronic Design Process. IEEE, New York, NY, USA. https://standards.ieee.org/standard/1497-2001.html . IEEE Std 1497-2001.Google ScholarGoogle Scholar
  63. IEEE 1647-2006. IEEE Standard for the Functional Verification Language ‘e’. IEEE, New York, NY, USA. https://standards.ieee. org/standard/1647-2006.html . IEEE Std 1647-2006.Google ScholarGoogle Scholar
  64. IEEE 1666- 2011. IEEE Standard for Standard SystemC Language Reference Manual. IEEE, New York, NY, USA. http: //standards.ieee.org/standard/1666-2011.html . IEEE Std 1666-2011.Google ScholarGoogle Scholar
  65. IEEE 1800-2005. IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language. IEEE, New York, NY, USA. http://standards.ieee.org/standard/1800-2005.html . IEEE Std 1800-2005.Google ScholarGoogle Scholar
  66. IEEE 1800-2009. IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language. IEEE, New York, NY, USA. http://standards.ieee.org/standard/1800-2009.html . IEEE Std 1800-2009.Google ScholarGoogle Scholar
  67. IEEE 1800-2012. IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language. IEEE, New York, NY, USA. http://standards.ieee.org/standard/1800-2012.html . IEEE Std 1800-2012.Google ScholarGoogle Scholar
  68. IEEE 1800-2017. IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language. IEEE, New York, NY, USA. http://standards.ieee.org/standard/1800-2017.html . IEEE Std 1800-2017.Google ScholarGoogle Scholar
  69. IEEE 1800.2-2017. IEEE Standard for Universal Verification Methodology Language Reference Manual. IEEE, New York, NY, USA. https://standards.ieee.org/standard/1800_2-2017.html . IEEE Std 1800.2-2017.Google ScholarGoogle Scholar
  70. IEEE 1850-2005. IEEE Standard for Property Specification Language (PSL). IEEE, New York, NY, USA. http://standards.ieee. org/standard/1850-2005.html . IEEE Std 1850-2005.Google ScholarGoogle Scholar
  71. IEEE 1850-2010. IEEE Standard for Property Specification Language (PSL). IEEE, New York, NY, USA. http://standards.ieee. org/standard/1850-2010.html . IEEE Std 1850-2010.Google ScholarGoogle Scholar
  72. Sasan Iman and Sunita Joshi. 2004. The e Hardware Verification Language. Springer, New York, NY, USA. Google ScholarGoogle ScholarCross RefCross Ref
  73. Mahendra Jain. 1993. The VHDL forecast. IEEE Spectrum 30, 6 (jun), 36. Full-page sidebar in: Michael Carroll. 1993. VHDL—panacea or hype? IEEE Spectrum 30, 6 (jun), 34–37. Google ScholarGoogle ScholarDigital LibraryDigital Library
  74. Stephen C. Johnson. 1975. Yacc - Yet another compiler-compiler. Computer Science Technical Report 32. Bell Telephone Laboratories, Murray Hill, NJ, USA.Google ScholarGoogle Scholar
  75. Harvey Jones. 2009. Oral History of Harvey Jones. Computer History Museum (6 Nov.). http://www.computerhistory.org/ collections/catalog/102702217 (also at Internet Archive 3 Oct. 2013 02:33:32 ). Catalog number 102702217.Google ScholarGoogle Scholar
  76. Harry F. Jordan and Burton J. Smith. 1973. Structure of Digital System Description Languages. In Proceedings of the 1st Annual Symposium on Computer Architecture (Gainesville, FL, USA, 1973-12) (ISCA ’73). Association for Computing Machinery, New York, NY, USA, 31–34. Google ScholarGoogle ScholarDigital LibraryDigital Library
  77. Gregor Kiczales, John Lamping, Anurag Mendhekar, Chris Maeda, Cristina Lopes, Jean-Marc Loingtier, and John Irwin. 1997. Aspect-oriented programming. In 11th European Conference on Object-Oriented Programming (Jyväskylä, Finland, 1997-06-09/1997-06-13) (ECOOP ’97 ). Springer, Berlin, Germany, 220–242. https://www.springer.com/us/book/9783540630890 . Volume 1241 of Lecture Notes in Computer Science.Google ScholarGoogle ScholarCross RefCross Ref
  78. Lindsay Kleeman and Antonio Cantoni. 1987. Metastable Behavior In Digital Systems. IEEE Design and Test of Computers 4, 6 (dec), 4–19. Google ScholarGoogle ScholarDigital LibraryDigital Library
  79. Jan Łukasiewicz. 1920. O logice trójwartościowej. Ruch Filozoficzny 5, 170–171. Translation: On three-valued logic. Also see: Peter Simons. 2017. Jan Łukasiewicz. In The Stanford Encyclopedia of Philosophy (spring 2017 ed.), Edward N. Zalta (Ed.). Metaphysics Research Lab, Stanford University. Archived at https://plato.stanford.edu/archives/spr2017/entries/ lukasiewicz/ .Google ScholarGoogle Scholar
  80. Rajeev Madhavan. 1997. About IVC. OVI. Archived at https://web.archive.org/web/19970414023820/http://www.hdlcon. org/aboutivc.html .Google ScholarGoogle Scholar
  81. Mentor Graphics. 2006. Mentor Graphics Delivers the Next Generation of Functional Verification. Mentor Graphics, Inc. (6 may). Archived at https://web.archive.org/web/20100523143319/https://www.mentor.com/company/news/questa_avm .Google ScholarGoogle Scholar
  82. MIL-STD-454L. 1988. Standard General Requirements for Electronic Equipment. US Department of Defense, Washington, DC, USA (20 Sep).Google ScholarGoogle Scholar
  83. Don Mills and Clifford E. Cummings. 1999. RTL Coding Styles That Yield Simulation and Synthesis Mismatches. In Synopsys Users Group Conference (San Jose, CA, USA, 1999-03-29/1999-03-30) (SNUG San Jose 1999). Synopsys, Mountain View, CA, USA, 1–16. non-archival http://www.sunburst-design.com/papers/CummingsSNUG1999SJ_SynthMismatch.pdf (also at Internet Archive 12 Jan. 2006 04:11:53 ).Google ScholarGoogle Scholar
  84. Philip Moorby. 2013. Oral History of Philip Raymond “Phil” Moorby. Computer History Museum (22 April). https: //www.computerhistory.org/collections/catalog/102746653 (also at Internet Archive 4 Jan. 2014 12:35:24 ). Catalog number 102746653.Google ScholarGoogle Scholar
  85. Phil Moorby, Arturo Salz, Peter Flake, Surrendra Dudani, and Tom Fitzpatrick. 2003. Achieving Determinism in SystemVerilog 3.1 Scheduling Semantics. In Design and Verification Conference (San Jose, CA, USA, 2003-02-24/2003-02-25) (DVCon 2003). Accellera, Napa, CA, USA, 1–7. Archived atGoogle ScholarGoogle Scholar
  86. Philip R. Moorby. 1983. Fault Simulation using Parallel Value Lists. In IEEE International Conference on Computer-Aided Design (Santa Clara, CA, USA, 1983-09-12/1983-09-15) (ICCAD ’83). IEEE, New York, NY, USA, 101–102.Google ScholarGoogle Scholar
  87. Gabe Moretti. 2015. DVCon is the Primary Design and Verification Conference. Chip Design Magazine (20 Feb.). Archived at https://web.archive.org/web/20150304150425/http://chipdesignmag.com/sld/moretti/2015/02/20/dvcon-is-the-primarydesign-and-verification-conference .Google ScholarGoogle Scholar
  88. Daniel Nenni and Paul McLellan. 2019. Fabless: The Transformation of the Semiconductor Industry. SemiWiki.com, USA. non-archival https://semiwiki.com/forum/images/BookCovers/Fabless%202019%20Version%20PDF.pdf (also at Internet Archive 5 Nov. 2019 22:05:09 ).Google ScholarGoogle Scholar
  89. A. Richard Newton. 2005. Presentation of the 2005 Phil Kaufman Award to Phil Moorby. University of California, Berkeley, CA, USA (1 nov). Archived at https://web.archive.org/web/20200330233003/https://people.eecs.berkeley.edu/~newton/ Presentations/Kaufman/PMPresent.html . Richard Newton’s speech introducing Moorby at the awards ceremony.Google ScholarGoogle Scholar
  90. Rishiyur S. Nikhil and Arvind. 2009. What is Bluespec? ACM SIGDA Newsletter 39, 1 (jan), 1. Google ScholarGoogle ScholarDigital LibraryDigital Library
  91. Irving S. Reed. 1952. Symbolic Synthesis of Digital Computers. In Proceedings of the 1952 ACM National Meeting (Toronto) (Toronto, Ontario, Canada, 1952-06) (ACM ’52). Association for Computing Machinery, New York, NY, USA, 90–94. Google ScholarGoogle ScholarDigital LibraryDigital Library
  92. Ray Salemi. 2013. The UVM Primer: A Step-by-Step Introduction to the Universal Verification Methodology. Boston Light Press, Boston, MA, USA.Google ScholarGoogle Scholar
  93. Arturo Salz, Bruce Greene, and Robert Booth. 2012. X-Optimism Elimination during RTL Verification. In Synopsys Users Group Conference (Santa Clara, CA, USA, 2012-03-26/2012-03-28) (SNUG Silicon Valley 2012). Synopsys, Mountain View, CA, USA, 1–16. Archived at https://web.archive.org/web/20200331183948/https://trilobyte.com/pdf/x-optimism_elimination_ SNUG_2012.pdf .Google ScholarGoogle Scholar
  94. Claude Elwood Shannon. 1940. A symbolic analysis of relay and switching circuits. Master’s thesis. MIT, Dept. of Electrical Engineering, Cambridge, MA, USA. Archived at http://hdl.handle.net/1721.1/11173 .Google ScholarGoogle Scholar
  95. Michael John Sebastian Smith. 1993. Application-specific integrated circuits. Addison-Wesley, Reading, MA, USA. Archived at https://archive.org/details/ApplicationSpecificIntegratedCircuitsAddisonWesleyMichaelJohnSebastianSmith/mode/ 2up .Google ScholarGoogle Scholar
  96. Stuart Sutherland. 2000. The IEEE Verilog 1364-2001 Standard: What’s New, and Why You Need It. In 9th Annual International HDL Conference and Exhibition (San Jose, CA, USA, 2000-03-08/2000-03-10) (HDLCon ’00). OVI & VI, USA, 1–8. Archived at https://web.archive.org/web/20030403025314/https://sutherland-hdl.com/papers/2000-HDLConpaper_Verilog-2000.pdf . Slides at https://web.archive.org/web/20030403102459/https://sutherland-hdl.com/papers/2000-HDLCon-presentation_Verilog-2000.pdf .Google ScholarGoogle Scholar
  97. Stuart Sutherland. 2017. RTL Modeling With SystemVerilog for Simulation and Synthesis. Sutherland HDL, Tualatin, OR, USA.Google ScholarGoogle Scholar
  98. Stuart Sutherland, Simon Davidmann, and Peter Flake. 2006. SystemVerilog for Design: A Guide to Using SystemVerilog for Hardware Design and Modeling (second ed.). Springer Science+Business Media, New York, NY, USA. Google ScholarGoogle ScholarCross RefCross Ref
  99. Donald Thomas and Philip Moorby. 1991. The Verilog Hardware Description Language. Kluwer Academic Publishers, Dordrecht, Netherlands. This book is the first public description of the Verilog language. There have been five editions: 1991, 1995, 1996, 1998, and 2002. The second edition included a DOS diskette containing examples from the book and a Verilog simulator. The third through fifth editions included a CD-ROM with examples and a simulator.Google ScholarGoogle Scholar
  100. Donald Thomas and Philip Moorby. 1995. The Verilog Hardware Description Language (second ed.). Kluwer Academic Publishers, Dordrecht, Netherlands. The Foreward by C. Gordon Bell gives a nice summary of the Verilog market as of 1995.Google ScholarGoogle Scholar
  101. Mike Turpin. 2003. The Dangers of Living with an X (bugs hidden in your Verilog). In Synopsys Users Group Conference (San Jose, CA, USA, 2003-03-17/2003-03-19) (SNUG San Jose 2003). Synopsys, Mountain View, CA, USA, 1–34. Archived at https://web.archive.org/web/20150510162606/http://infocenter.arm.com/help/topic/com.arm.doc.arp0009a/Verilog_ X_Bugs.pdf .Google ScholarGoogle Scholar
  102. Ernst G. Ulrich. 1969. Exclusive Simulation of Activity in Digital Networks. Commun. ACM 12, 2 (Feb.), 102–110. Google ScholarGoogle ScholarDigital LibraryDigital Library
  103. Moshe Y. Vardi. 1995. An Automata-Theoretic Approach to Linear Temporal Logic. In Logics for Concurrency : Structure versus Automata (Banff, Canada, 1995-08-27/1995-09-03) (VIII Banff Higher Order Workshop). Springer-Verlag, Berlin, Heidelberg, Germany, 238–266.Google ScholarGoogle Scholar
  104. Srivatsa Vasudevan. 2016. Practical UVM. CreateSpace, Scotts Valley, CA, USA.Google ScholarGoogle Scholar
  105. Verisity Design. 2002. eRM : e Reuse Methodology. Verisity Design. Archived at https://web.archive.org/web/20021009225113/ http://www.verisity.com/products/erm.html .Google ScholarGoogle Scholar
  106. Frank Weiler. 2003. DVCon. Accellera, Napa, CA, USA. Archived at https://web.archive.org/web/20030408023416/http: //www.hdlcon.org/geninfo.html .Google ScholarGoogle Scholar
  107. Ian John White. 1975. A digital systems simulator. Ph.D. Dissertation. University of Bradford, Bradford, West Yorkshire, UK. Library record at non-archival https://catalogue.brad.ac.uk/record=b1220417~S1 .Google ScholarGoogle Scholar
  108. Dyson Wilkes and M. M. Kamal Hashmi. 1999. Application of High Level Interface-Based Design to Telecommunications System Hardware. In Proceedings of the 36th Annual ACM/IEEE Design Automation Conference (New Orleans, Louisiana, USA, 1999-06) (DAC ’99). Association for Computing Machinery, New York, NY, USA, 778–783. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Verilog HDL and its ancestors and descendants

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image Proceedings of the ACM on Programming Languages
            Proceedings of the ACM on Programming Languages  Volume 4, Issue HOPL
            June 2020
            1524 pages
            EISSN:2475-1421
            DOI:10.1145/3406494
            Issue’s Table of Contents

            Copyright © 2020 Owner/Author

            This work is licensed under a Creative Commons Attribution International 4.0 License.

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 12 June 2020
            Published in pacmpl Volume 4, Issue HOPL

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader