skip to main content
survey

A Survey and Comparative Study of Hard and Soft Real-Time Dynamic Resource Allocation Strategies for Multi-/Many-Core Systems

Published:11 April 2017Publication History
Skip Abstract Section

Abstract

Multi-/many-core systems are envisioned to satisfy the ever-increasing performance requirements of complex applications in various domains such as embedded and high-performance computing. Such systems need to cater to increasingly dynamic workloads, requiring efficient dynamic resource allocation strategies to satisfy hard or soft real-time constraints. This article provides an extensive survey of hard and soft real-time dynamic resource allocation strategies proposed since the mid-1990s and highlights the emerging trends for multi-/many-core systems. The survey covers a taxonomy of the resource allocation strategies and considers their various optimization objectives, which have been used to provide comprehensive comparison. The strategies employ various principles, such as market and biological concepts, to perform the optimizations. The trend followed by the resource allocation strategies, open research challenges, and likely emerging research directions have also been provided.

References

  1. Waheed Ahmed, Muhammad Shafique, Lars Bauer, and Jörg Henkel. 2011. Adaptive resource management for simultaneous multitasking in mixed-grained reconfigurable multi-core processors. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). ACM, 365--374. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. B. Aksanli and T. Rosing. 2014. Providing regulation services and managing data center peak power budgets. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 1--4. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Mohammad Abdullah Al Faruque, Rudolf Krist, and Jörg Henkel. 2008. ADAM: Run-time agent-based distributed application mapping for on-chip communication. In Proceedings of ACM Design Automation Conference (DAC). 760--765. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. AMD. 2011. AMD Opteron 6000 series processors. Retrieved February 12, 2016 from http://www.amd.com/en-us/products/server/opteron/6000.Google ScholarGoogle Scholar
  5. F. Angiolini, Jianjiang Ceng, R. Leupers, F. Ferrari, C. Ferri, and L. Benini. 2006. An integrated open framework for heterogeneous MPSoC design space exploration. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. N. Audsley, A. Burns, M. Richardson, K. Tindell, and A. J. Wellings. 1993. Applying new scheduling theory to static priority pre-emptive scheduling. Softw. Eng. J. 8, 5 (Sep 1993), 284--292.Google ScholarGoogle ScholarCross RefCross Ref
  7. Neil C. Audsley, Alan Burns, Robert I. Davis, Ken W. Tindell, and Andy J. Wellings. 1995. Fixed priority pre-emptive scheduling: An historical perspective. Real-Time Syst. 8, 2--3 (1995), 173--198. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Ozalp Babaoglu, Geoffrey Canright, Andreas Deutsch, Gianni A. Di Caro, Frederick Ducatelle, Luca M. Gambardella, Niloy Ganguly, Márk Jelasity, Roberto Montemanni, Alberto Montresor, et al. 2006. Design patterns from biology for distributed computing. ACM Trans. Auton. Adapt. Syst. 1, 1 (2006), 26--66. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Theodore P. Baker and Sanjoy K. Baruah. 2007. Schedulability analysis of multiprocessor sporadic task systems. Handbook of Real-Time and Embedded Systems (2007). CRC Press.Google ScholarGoogle Scholar
  10. Mohamed A. Bamakhrama and Todor Stefanov. 2012. Managing latency in embedded streaming applications under hard-real-time scheduling. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). 83--92. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Nikhil Bansal and Kirk R. Pruhs. 2010. Server scheduling to balance priorities, fairness, and average quality of service. SIAM J. Comput. 39, 7 (2010), 3311--3335. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Donato Barbagallo, Elisabetta Di Nitto, Daniel J Dubois, and Raffaela Mirandola. 2010. A bio-inspired algorithm for energy optimization in a self-organizing data center. In Self-Organizing Architectures. Springer, 127--151. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Sanjoy Baruah, Vincenzo Bonifaci, Alberto Marchetti-Spaccamela, and Sebastian Stiller. 2010. Improved multiprocessor global schedulability analysis. Real-Time Syst. 46, 1 (2010), 3--24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Daniel Bates, Alex Bradbury, Andreas Koltes, and Robert Mullins. 2015. Exploiting tightly-coupled cores. J. Sign. Process. Syst. 80, 1 (2015), 103--120. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. L. Benini and G. De Micheli. 2002. Networks on chips: A new SoC paradigm. Computer 1 (2002), 70--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M. Bertogna, M. Cirinei, and G. Lipari. 2005. Improved schedulability analysis of EDF on multiprocessor platforms. In Proceedings of IEEE Euromicro Conference on Real-Time Systems (ECTRS). 209--218. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Benjamin Betting and Uwe Brinkschulte. 2014. Analyzing the overhead of self-optimization through task migration within a decentralized task control mechanism for dependable system-on-chip architectures. In Proceedings of the IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing (ISORC). IEEE, 84--91. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Tobias Bjerregaard and Shankar Mahadevan. 2006. A survey of research and practices of network-on-chip. ACM Comput. Surv. 1 (2006). Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Carolina Blanch, Rogier Baert, Paul Coene, Maja D’Hondt, Zhe Ma, and Roel Wuyts. 2011. Runtime scheduling for video decoding on heterogeneous architectures. In Proceedings of the International Conference on Real Time and Networks Systems (RTNS). Citeseer, 195--204.Google ScholarGoogle Scholar
  20. B. Bohnenstiehl, A. Stillmaker, J. Pimentel, T. Andreas, B. Liu, A. Tran, E. Adeagbo, and B. Baa. 2016. A 5.8 pJ/Op 115 billion Ops/sec, to 1.78 trillion Ops/sec 32nm 1000 processor array. In IEEE Symposia on VLSI Technology and Circuits.Google ScholarGoogle Scholar
  21. Vincenzo Bonifaci, Alberto Marchetti-Spaccamela, Sebastian Stiller, and Andreas Wiese. 2013. Feasibility analysis in the sporadic DAG task model. In Proceedings of IEEE Euromicro Conference on Real-Time Systems (ECRTS). IEEE, 225--233. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Shekhar Borkar. 2007. Thousand core chips: A technology perspective. In Proceedings of ACM Design Automation Conference (DAC). 746--749. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Eduardo Wenzel Briáo, Daniel Barcelos, and Flávio Rech Wagner. 2008. Dynamic task allocation strategies in MPSoC for soft real-time applications. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 1386--1389. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Uwe Brinkschulte, Mathias Pacher, and Alexander Von Renteln. 2007. Towards an artificial hormone system for self-organizing real-time task allocation. In Software Technologies for Embedded and Ubiquitous Systems. Springer, 339--347. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Andrew Marc Burkimsher. 2014. Fair, Responsive Scheduling of Engineering Workflows on Computing Grids. Ph.D. Dissertation. University of Southampton, UK.Google ScholarGoogle Scholar
  26. A. Burns, D. Prasad, A. Bondavalli, F. Di Giandomenico, K. Ramamritham, J. Stankovic, and L. Strigini. 2000. The meaning and role of value in scheduling flexible real-time systems. J. Syst. Arch. 46, 4 (2000), 305--325. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Giorgio Buttazzo, Enrico Bini, and Yifan Wu. 2011. Partitioning real-time applications over multicore reservations. IEEE Trans. Industr. Inform. 7, 2 (2011), 302--315.Google ScholarGoogle ScholarCross RefCross Ref
  28. Giorgio C. Buttazzo. 2011. Hard Real-Time Computing Systems. Real-Time Systems Series, Vol. 24. Springer. Google ScholarGoogle Scholar
  29. Rodrigo N. Calheiros and Rajkumar Buyya. 2014. Energy-efficient scheduling of urgent bag-of-tasks applications in clouds through DVFS. In Proceedings of IEEE International Conference on Cloud Computing Technology and Science (CLOUDCOM). 342--349. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Scott Camazine, Nigel R. Franks, James Sneyd, Eric Bonabeau, Jean-Louis Deneubourg, and Guy Theraula. 2001. Self-Organization in Biological Systems. Princeton University Press, Princeton, NJ. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Ewerson Luiz de Souza Carvalho, Ney Laert Vilar Calazans, and Fernando Gehm Moraes. 2010. Dynamic task mapping for MPSoCs. IEEE Des. Test (2010), 26--35. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Guilherme Castilhos, Marcelo Mandelli, Guilherme Madalozzo, and Filipe Moraes. 2013. Distributed resource management in NoC-based MPSoCs with dynamic cluster sizes. In Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI). IEEE, 153--158.Google ScholarGoogle ScholarCross RefCross Ref
  33. Jeronimo Castrillon, Andreas Tretter, Rainer Leupers, and Gerd Ascheid. 2012. Communication-aware mapping of KPN applications onto heterogeneous MPSoCs. In Proceedings of ACM Design Automation Conference (DAC). 1266--1271. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. J. Ceng, J. Castrillon, W. Sheng, H. Scharwächter, R. Leupers, G. Ascheid, H. Meyr, T. Isshiki, and H. Kunieda. 2008. MAPS: An integrated framework for MPSoC application parallelization. In Proceedings of ACM Design Automation Conference (DAC). 754--759. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Muhammad Tayyab Chaudhry, Teck Chaw Ling, Atif Manzoor, Syed Asad Hussain, and Jongwon Kim. 2015. Thermal-aware scheduling in green data centers. ACM Comput. Surv. 47, 3 (2015), 39. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Ken Chen and Paul Muhlethaler. 1996. A scheduling algorithm for tasks described by time value function. Real-Time Syst. 10, 3 (1996), 293--312. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Liang Chen, T. Marconi, and T. Mitra. 2012. Online scheduling for multi-core shared reconfigurable fabric. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 582--585. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Yuanqing Cheng, Lei Zhang, Yinhe Han, and Xiaowei Li. 2013. Thermal-constrained task allocation for interconnect energy reduction in 3-D homogeneous MPSoCs. IEEE Trans. VLSI 21, 2 (2013), 239--249. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Junchul Choi, Hyunok Oh, Sungchan Kim, and Soonhoi Ha. 2012. Executing synchronous dataflow graphs on a SPM-based multicore architecture. In Proceedings of ACM Design Automation Conference (DAC). 664--671. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Chen-Ling Chou and Radu Marculescu. 2008. User-aware dynamic task allocation in networks-on-chip. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 1232--1237. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Chen-Ling Chou, U. Y. Ogras, and R. Marculescu. 2008. Energy- and performance-aware incremental mapping for networks on chip with multiple voltage levels. Trans. Comp.-Aided Des. Integ. Cir. Sys. (Oct. 2008), 1866--1879. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Pravanjan Choudhury, P. P. Chakrabarti, and Rajeev Kumar. 2007. Online dynamic voltage scaling using task graph mapping analysis for multiprocessors. In Proceedings of the International Conference on VLSI Design (VLSID). 89--94. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. Jason Cong and Karthik Gururaj. 2009. Energy efficient multiprocessor task scheduling under input-dependent variation. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 411--416. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Ayse K. Coskun, Jose L. Ayala, David Atienza, Tajana Simunic Rosing, and Yusuf Leblebici. 2009. Dynamic thermal management in 3D multicore architectures. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 1410--1415. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Marco Cox, Amit Kumar Singh, Akash Kumar, and Henk Corporaal. 2013. Thermal-aware mapping of streaming applications on 3D Multi-Processor Systems. In Proceedings of IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia). 11--20.Google ScholarGoogle ScholarCross RefCross Ref
  46. Antoniel da Silva Rego, Joaquim Celestino, Andre Dos Santos, Eduardo Coelho Cerqueira, Anup Patel, and Mehdi Taghavi. 2012. BEE-C: A bio-inspired energy efficient cluster-based algorithm for data continuous dissemination in Wireless Sensor Networks. In Proceedings of the IEEE International Conference on Networks (ICON). IEEE, 405--410.Google ScholarGoogle ScholarCross RefCross Ref
  47. Anup Das, Amit Kumar Singh, and Akash Kumar. 2013. Energy-aware dynamic reconfiguration of communication-centric applications for reliable MPSoCs. In Proceedings of the IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC). 1--7.Google ScholarGoogle ScholarCross RefCross Ref
  48. Robert I. Davis and Alan Burns. 2011. A survey of hard real-time scheduling for multiprocessor systems. ACM Comput. Surv. 43, 4, Article 35 (Oct. 2011), 44 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. Robert I. Davis, Attila Zabos, and Alan Burns. 2008. Efficient exact schedulability tests for fixed priority real-time systems. IEEE Trans. Comput. 57, 9 (2008), 1261--1276. Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. Benoît Dupont De Dinechin, Duco Van Amstel, Marc Poulhiès, and Guillaume Lager. 2014. Time-critical computing on a single-chip massively parallel processor. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. André de Matos Pedro, David Pereira, Luís Miguel Pinho, and Jorge Sousa Pinto. 2015. Logic-based schedulability analysis for compositional hard real-time embedded systems. ACM SIGBED Rev. 12, 1 (2015), 56--64. Google ScholarGoogle ScholarDigital LibraryDigital Library
  52. O. Derin, D. Kabakci, and L. Fiorin. 2011. Online task remapping strategies for fault-tolerant network-on-chip multiprocessors. In Proceedings of the IEEE/ACM Symposium on Networks on Chip (NoCS). 129--136. Google ScholarGoogle ScholarDigital LibraryDigital Library
  53. Michael L Dertouzos and Aloysius Ka-Lau Mok. 1989. Multiprocessor online scheduling of hard-real-time tasks. IEEE Trans. Softw. Eng. 15, 12 (1989), 1497--1506. Google ScholarGoogle ScholarDigital LibraryDigital Library
  54. Jiun-Hung Ding, Ya-Ting Chang, Zhou-dong Guo, Kuan-Ching Li, and Yeh-Ching Chung. 2014. An efficient and comprehensive scheduler on asymmetric multicore architecture systems. J. Syst. Arch. 60, 3 (2014), 305--314. Google ScholarGoogle ScholarDigital LibraryDigital Library
  55. Piotr Dziurzanski, Amit Kumar Singh, and Leandro Soares Indrusiak. 2016a. Energy-aware resource allocation in multi-mode automotive applications with hard real-time constraints. In Proceedings of the IEEE International Symposium on Real-Time Distributed Computing (ISORC). 100--107.Google ScholarGoogle ScholarCross RefCross Ref
  56. Piotr Dziurzanski, Amit Kumar Singh, and Leandro Soares Indrusiak. 2016b. Feedback-based admission control for hard real-time task allocation under dynamic workload on many-core systems. In Proceedings of the International Conference on Architecture of Computing Systems (ARCS). 157--169. Google ScholarGoogle ScholarDigital LibraryDigital Library
  57. Piotr Dziurzanski, Amit Kumar Singh, Leandro Soares Indrusiak, and Björn Saballus. 2015. Hard real-time guarantee of automotive applications during mode changes. In Proceedings of the International Conference on Real Time and Networks Systems (RTNS). 161--170. Google ScholarGoogle ScholarDigital LibraryDigital Library
  58. Thomas Ebi, David Kramer, Wolfgang Karl, and Jörg Henkel. 2011. Economic learning for thermal-aware power budgeting in many-core architectures. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). 189--196. Google ScholarGoogle ScholarDigital LibraryDigital Library
  59. M. Fattah, P. Liljeberg, J. Plosila, and H. Tenhunen. 2014a. Adjustable contiguity of run-time task allocation in networked many-core systems. In Proceedings of IEEE Asia and South Pacific Design Automation Conference (ASP-DAC). 349--354.Google ScholarGoogle Scholar
  60. Mohammad Fattah, Maurizio Palesi, Pasi Liljeberg, Juha Plosila, and Hannu Tenhunen. 2014b. SHiFA: System-level hierarchy in run-time fault-aware management of many-core systems. In Proceedings of ACM Design Automation Conference (DAC). 101:1--101:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  61. Fabrizio Fazzino, Maurizio Palesi, and David Patti. 2008. Noxim: Network-on-chip simulator. Retrieved from http://sourceforge. net/projects/noxim.Google ScholarGoogle Scholar
  62. Michael R. Garey and David S. Johnson. 1979. Computers and Intractability; A Guide to the Theory of NP-Completeness. W. H. Freeman 8 Co. Google ScholarGoogle ScholarDigital LibraryDigital Library
  63. Beltra Giovanni, Luca Fossati, and Donatella Sciuto. 2010. Decision-theoretic design space exploration of multiprocessor platforms. Trans. Comp.-Aided Des. Integ. Cir. Sys. (2010), 1083--1095. Issue 7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  64. Maximilian Götzinger, Amir M. Rahmani, Martin Pongratz, Pasi Liljeberg, Axel Jantsch, and Hannu Tenhunen. 2016. The role of self-awareness and hierarchical agents in resource management for many-core systems. In IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). IEEE, 53--60.Google ScholarGoogle ScholarCross RefCross Ref
  65. Dominik Grewe, Zheng Wang, and Michael F. P. OBoyle. 2013. OpenCL task partitioning in the presence of GPU contention. In International Workshop on Languages and Compilers for Parallel Computing. 87--101.Google ScholarGoogle Scholar
  66. Abdul Hameed, Alireza Khoshkbarforoushha, Rajiv Ranjan, Prem Prakash Jayaraman, Joanna Kolodziej, Pavan Balaji, Sherali Zeadally, Qutaibah Marwan Malluhi, Nikos Tziritas, Abhinav Vishnu, and others. 2014. A survey and taxonomy on energy efficient resource allocation techniques for cloud computing systems. Computing (2014), 1--24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  67. Ching-Chih Han and Kwei-Jay Lin. 1989. Scheduling parallelizable jobs on multiprocessors. In Proceedings Real Time Systems Symposium (RTSS). IEEE, 59--67.Google ScholarGoogle ScholarCross RefCross Ref
  68. Hans-Ulrich Heiss and Michael Schmitz. 1995. Decentralized dynamic load balancing: The particles approach. Inf. Sci. 84, 1 (1995), 115--128. Google ScholarGoogle ScholarDigital LibraryDigital Library
  69. Jörg Henkel, Lars Bauer, Nikil Dutt, Puneet Gupta, Sani Nassif, Muhammad Shafique, Mehdi Tahoori, and Norbert Wehn. 2013. Reliable On-chip systems in the nano-era: Lessons learnt and future trends. In Proceedings of ACM Design Automation Conference (DAC). 99:1--99:10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  70. J. Henkel, A. Herkersdorf, L. Bauer, T. Wild, M. Hubner, R. K. Pujari, A. Grudnitsky, J. Heisswolf, A. Zaib, B. Vogel, V. Lari, and S. Kobbe. 2012. Invasive manycore architectures. In Proceedings of IEEE Asia and South Pacific Design Automation Conference (ASP-DAC). 193--200.Google ScholarGoogle Scholar
  71. Fernando Herrera and Ingo Sander. 2013. Combining analytical and simulation-based design space exploration for time-critical systems. In Forum on Specification Design Languages (FDL). 1--8.Google ScholarGoogle Scholar
  72. Henry Hoffmann, Jim Holt, George Kurian, Eric Lau, Martina Maggio, Jason E. Miller, Sabrina M. Neuman, Mahmut Sinangil, Yildiz Sinangil, Anant Agarwal, Anantha P. Chandrakasan, and Srinivas Devadas. 2012. Self-aware computing in the angstrom processor. In Proceedings of ACM Design Automation Conference (DAC). 259--264. Google ScholarGoogle ScholarDigital LibraryDigital Library
  73. Shengyan Hong, T. Chantem, and Xiaobo Sharon Hu. 2015. Local-deadline assignment for distributed real-time systems. IEEE Trans. Comput. 64, 7 (July 2015), 1983--1997.Google ScholarGoogle ScholarDigital LibraryDigital Library
  74. S. Hong, S. H. K. Narayanan, M. Kandemir, and Ö. Özturk. 2009. Process variation aware thread mapping for chip multiprocessors. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 821--826. Google ScholarGoogle ScholarDigital LibraryDigital Library
  75. Jingcao Hu and Radu Marculescu. 2003. Energy-aware mapping for tile-based NoC architectures under performance constraints. In Proceedings of IEEE Asia and South Pacific Design Automation Conference (ASP-DAC). 233--239. Google ScholarGoogle ScholarDigital LibraryDigital Library
  76. Jia Huang, Andreas Raabe, Christian Buckl, and Alois Knoll. 2011a. A workflow for runtime adaptive task allocation on heterogeneous mpsocs. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 1--6.Google ScholarGoogle ScholarCross RefCross Ref
  77. Lin Huang and Qiang Xu. 2010. Performance yield-driven task allocation and scheduling for MPSoCs under process variation. In Proceedings of ACM Design Automation Conference (DAC). 326--331. Google ScholarGoogle ScholarDigital LibraryDigital Library
  78. Lin Huang, Rong Ye, and Qiang Xu. 2011b. Customer-aware task allocation and scheduling for multi-mode MPSoCs. In Proceedings of ACM Design Automation Conference (DAC). 387--392. Google ScholarGoogle ScholarDigital LibraryDigital Library
  79. Hameed Hussain, Saif Ur Rehman Malik, Abdul Hameed, Samee Ullah Khan, Gage Bickler, Nasro Min-Allah, Muhammad Bilal Qureshi, Limin Zhang, Wang Yongji, Nasir Ghani, Joanna Kolodziej, Albert Y. Zomaya, Cheng-Zhong Xu, Pavan Balaji, Abhinav Vishnu, Fredric Pinel, Johnatan E. Pecero, Dzmitry Kliazovich, Pascal Bouvry, Hongxiang Li, Lizhe Wang, Dan Chen, and Ammar Rayes. 2013. Review: A survey on resource allocation in high performance distributed computing systems. Parallel Comput. 39, 11 (Nov. 2013), 709--736. Google ScholarGoogle ScholarDigital LibraryDigital Library
  80. Leandro Soares Indrusiak. 2014. End-to-end schedulability tests for multiprocessor embedded systems based on networks-on-chip with priority-preemptive arbitration. J. Syst. Arch. 60, 7 (2014), 553--561.Google ScholarGoogle ScholarCross RefCross Ref
  81. Leandro Soares Indrusiak, Piotr Dziurzanski, and Amit Kumar Singh. 2016. Dynamic Resource Allocation in Embedded, High-Performance and Cloud Computing. River Publishers.Google ScholarGoogle Scholar
  82. Intel. 2016. Intel Hardware Accelerator Research Program. Retrieved from https://www.nextplatform.com/2016/03/14/intel-marrying-fpga-beefy-broadwell-open-compute-future/.Google ScholarGoogle Scholar
  83. David E. Irwin, Laura E. Grit, and Jeffrey S. Chase. 2004. Balancing risk and reward in a market-based task service. In Proceedings of the IEEE International Symposium on High Performance Distributed Computing (HPDC). 160--169. Google ScholarGoogle ScholarDigital LibraryDigital Library
  84. Damir Isovic and Gerhard Fohler. 2004. Quality aware MPEG-2 stream adaptation in resource constrained systems. In Proceedings of IEEE Euromicro Conference on Real-Time Systems (ECRTS). 23--32. Google ScholarGoogle ScholarDigital LibraryDigital Library
  85. Ralf Jahr, Martin Frieb, Mike Gerdes, Theo Ungerer, Andreas Hugl, and Hans Regler. 2014. Paving the way for multi-cores in industrial hard real-time control applications. In Proceedings of the IEEE International Symposium on Industrial Embedded Systems (SIES). 1--4.Google ScholarGoogle ScholarCross RefCross Ref
  86. Haris Javaid and Sri Parameswaran. 2009. A design flow for application specific heterogeneous pipelined multiprocessor systems. In Proceedings of ACM Design Automation Conference (DAC). 250--253. Google ScholarGoogle ScholarDigital LibraryDigital Library
  87. Haris Javaid, Muhammad Shafique, Jorg Henkel, and Sri Parameswaran. 2014. Energy-efficient adaptive pipelined MPSoCs for multimedia applications. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 33, 5 (2014), 663--676.Google ScholarGoogle ScholarCross RefCross Ref
  88. Praveen Jayachandran and Tarek Abdelzaher. 2008. Delay composition in preemptive and non-preemptive real-time pipelines. Real-Time Syst. 40, 3 (2008), 290--320. Google ScholarGoogle ScholarDigital LibraryDigital Library
  89. Ahmed Jerraya, Hannu Tenhunen, and Wayne Wolf. 2005. Guest editors’ introduction: Multiprocessor systems-on-chips. Computer 7 (2005), 36--40. Google ScholarGoogle ScholarDigital LibraryDigital Library
  90. Vaibhav Jha, Mohit Jha, and G. K. Sharma. 2014. Estimation of optimized energy and latency constraints for task allocation in 3d network on chip. arXiv Preprint arXiv:1405.0109 (2014).Google ScholarGoogle Scholar
  91. Zai Jian Jia, A. D. Pimentel, M. Thompson, T. Bautista, and A. Nunez. 2010. NASA: A generic infrastructure for system-level MP-SoC design space exploration. In Proceedings of IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia). 41--50.Google ScholarGoogle Scholar
  92. Jan Jonsson and Kang G. Shin. 2002. Robust adaptive metrics for deadline assignment in distributed hard real-time systems. Real-Time Syst. 23, 3 (2002), 239--271. Google ScholarGoogle ScholarDigital LibraryDigital Library
  93. Hanwoong Jung, Chanhee Lee, Shin-Haeng Kang, Sungchan Kim, Hyunok Oh, and Soonhoi Ha. 2014. Dynamic behavior specification and dynamic mapping for real-time embedded systems: HOPES approach. ACM Trans. Embed. Comput. Syst. 13, 4s, Article 135 (2014), 26 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  94. Michael Kadin, Sherief Reda, and Augustus Uht. 2009. Central vs. distributed dynamic thermal management for multi-core processors: Which one is better? In Proceedings of ACM Great Lakes symposium on VLSI (GLSVLSI). 137--140. Google ScholarGoogle ScholarDigital LibraryDigital Library
  95. B. Kao and H. Garcia-Molina. 1997. Deadline assignment in a distributed soft real-time system. IEEE Trans. Parallel Distrib. Syst. 8, 12 (Dec 1997), 1268--1274. Google ScholarGoogle ScholarDigital LibraryDigital Library
  96. Samarth Kaushik, Amit Kumar Singh, Wu Jigang, and Thambipillai Srikanthan. 2011. Run-time computation and communication aware mapping heuristic for NoC-based heterogeneous MPSoC platforms. In Proceedings of the IEEE International Symposium on Parallel Architectures, Algorithms and Programming (PAAP). 203--207. Google ScholarGoogle ScholarDigital LibraryDigital Library
  97. Bhavesh Khemka, Ryan Friese, Sudeep Pasricha, Anthony A. Maciejewski, Howard Jay Siegel, Gregory A Koenig, Sarah Powers, Marcia Hilton, Rajendra Rambharos, and Steve Poole. 2015. Utility maximizing dynamic resource management in an oversubscribed energy-constrained heterogeneous computing system. Sustainable Computing: Informatics and Systems 5 (2015), 14--30.Google ScholarGoogle ScholarCross RefCross Ref
  98. Joonsoo Kim and Michael Orshansky. 2006. Towards formal probabilistic power-performance design space exploration. In Proceedings of ACM Great Lakes symposium on VLSI (GLSVLSI). 229--234. Google ScholarGoogle ScholarDigital LibraryDigital Library
  99. Sebastian Kobbe, Lars Bauer, Daniel Lohmann, Wolfgang Schröder-Preikschat, and Jörg Henkel. 2011. DistRM: Distributed resource management for on-chip many-core systems. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). 119--128. Google ScholarGoogle ScholarDigital LibraryDigital Library
  100. Jonathan Koomey. 2011. Growth in data center electricity use 2005 to 2010. A report by Analytical Press, completed at the request of The New York Times (2011).Google ScholarGoogle Scholar
  101. Akash Kumar, Henk Corporaal, Bart Mesman, and Yajun Ha. 2010. Multimedia Multiprocessor Systems: Analysis, Design and Management. Springer Science 8 Business Media. Google ScholarGoogle ScholarDigital LibraryDigital Library
  102. Tei-Wei Kuo, Li-Pin Chang, Yu-Hua Liu, and Kwei-Jay Lin. 2003. Efficient online schedulability tests for real-time systems. IEEE Trans. Softw. Eng. 29, 8 (2003), 734--751. Google ScholarGoogle ScholarDigital LibraryDigital Library
  103. Yu-Kwong Kwok et al. 2006. A semi-static approach to mapping dynamic iterative tasks onto heterogeneous computing systems. J. Parallel Distrib. Comput. 66, 1 (2006), 77--98. Google ScholarGoogle ScholarDigital LibraryDigital Library
  104. Karthik Lakshmanan, Dionisio de Niz, and Ragunathan Rajkumar. 2009. Coordinated task scheduling, allocation and synchronization on multiprocessors. In Proceedings of the IEEE Real-Time Systems Symposium (RTSS). 469--478. Google ScholarGoogle ScholarDigital LibraryDigital Library
  105. Sylvain Lauzac, Rami Melhem, and Daniel Mosse. 1998. An efficient RMS admission control and its application to multiprocessor scheduling. In Proceedings of the IEEE Symposium on Parallel and Distributed Processing (SPDP). 511--518. Google ScholarGoogle ScholarDigital LibraryDigital Library
  106. Chanhee Lee, Hokeun Kim, Hae-woo Park, Sungchan Kim, Hyunok Oh, and Soonhoi Ha. 2010. A task remapping technique for reliable multi-core embedded systems. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). 307--316. Google ScholarGoogle ScholarDigital LibraryDigital Library
  107. Chanhee Lee, Sungchan Kim, and Soonhoi Ha. 2013. Efficient run-time resource management of a manycore accelerator for stream-based applications. In Proceedings of IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia). 51--60.Google ScholarGoogle ScholarCross RefCross Ref
  108. Jinkyu Lee, Insik Shin, and Arvind Easwaran. 2012. Convex optimization framework for intermediate deadline assignment in soft and hard real-time distributed systems. J. Syst. Softw. 85, 10 (2012), 2331--2339. Google ScholarGoogle ScholarDigital LibraryDigital Library
  109. Hennadiy Leontyev and James H Anderson. 2008. A unified hard/soft real-time schedulability test for global EDF multiprocessor scheduling. In IEEE Real-Time Systems Symposium (RTSS). 375--384. Google ScholarGoogle ScholarDigital LibraryDigital Library
  110. Yu-Chia Lin, Chuan-Yue Yang, Che-Wei Chang, Yuan-Hao Chang, Tei-Wei Kuo, and Chi-Sheng Shih. 2010. Energy-efficient mapping technique for virtual cores. In Proceedings of IEEE Euromicro Conference on Real-Time Systems (ECRTS). 66--75. Google ScholarGoogle ScholarDigital LibraryDigital Library
  111. Michele Lombardi and Michela Milano. 2012. Optimal methods for resource allocation and scheduling: a cross-disciplinary survey. Constraints 17, 1 (2012), 51--85. Google ScholarGoogle ScholarDigital LibraryDigital Library
  112. Jose M. Lopez, Jose L. Diaz, and Daniel F. Garcia. 2004. Minimum and maximum utilization bounds for multiprocessor rate monotonic scheduling. IEEE Trans. Parallel Distrib. Syst. 15, 7 (2004), 642--653. Google ScholarGoogle ScholarDigital LibraryDigital Library
  113. Chenyang Lu, John A. Stankovic, Sang H. Son, and Gang Tao. 2002. Feedback control real-time scheduling: Framework, modeling, and algorithms*. Real-Time Syst. 23, 1--2 (2002), 85--126. Google ScholarGoogle ScholarDigital LibraryDigital Library
  114. Chi-Keung Luk, Sunpyo Hong, and Hyesoon Kim. 2009. Qilin: Exploiting parallelism on heterogeneous multiprocessors with adaptive mapping. In Proceedings of IEEE/ACM International Symposium on Microarchitecture (MICRO). 45--55. Google ScholarGoogle ScholarDigital LibraryDigital Library
  115. A. Mallik et al. 2011. MNEMEE - An automated toolflow for parallelization and memory management in MPSoC platforms. In Proceedings of ACM Design Automation Conference (DAC).Google ScholarGoogle Scholar
  116. Marcelo Mandelli, Luciano Ost, Everton Carara, Guilherme Guindani, Thiago Gouvea, Guilherme Medeiros, and Fernando G. Moraes. 2011. Energy-aware dynamic task mapping for NoC-based MPSoCs. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS). 1676--1679.Google ScholarGoogle Scholar
  117. G. Manimaran, C. Siva Ram Murthy, and Krithi Ramamritham. 1998. A new approach for scheduling of parallelizable tasks in real-time multiprocessor systems. Real-Time Syst. 15, 1 (1998), 39--60. Google ScholarGoogle ScholarDigital LibraryDigital Library
  118. C. A. M. Marcon, E. I. Moreno, N. L. V. Calazans, and F. G. Moraes. 2008. Comparison of network-on-chip mapping algorithms targeting low energy consumption. IET Comput. Dig. Techn. (2008), 471--482.Google ScholarGoogle Scholar
  119. R. Marculescu, U. Y. Ogras, Li-Shiuan Peh, N. E. Jerger, and Y. Hoskote. 2009. Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 1 (2009), 3--21. Google ScholarGoogle ScholarDigital LibraryDigital Library
  120. G. Mariani, P. Avasare, G. Vanmeerbeeck, C. Ykman-Couvreur, G. Palermo, C. Silvano, and V. Zaccaria. 2010. An industrial design space exploration framework for supporting run-time resource management on multi-core systems. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 196--201. Google ScholarGoogle ScholarDigital LibraryDigital Library
  121. Dana Marinca, Pascale Minet, and Laurent George. 2004. Analysis of deadline assignment methods in distributed real-time systems. Comput. Commun. 27, 15 (2004), 1412--1423. Google ScholarGoogle ScholarDigital LibraryDigital Library
  122. G. Martin. 2006. Overview of the MPSoC design challenge. In Proceedings of ACM Design Automation Conference (DAC). 274--279. Google ScholarGoogle ScholarDigital LibraryDigital Library
  123. Peter Marwedel, Jürgen Teich, Georgia Kouveli, Iuliana Bacivarov, Lothar Thiele, Soonhoi Ha, Chanhee Lee, Qiang Xu, and Lin Huang. 2011. Mapping of applications to MPSoCs. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). 109--118. Google ScholarGoogle ScholarDigital LibraryDigital Library
  124. MediaTek. 2016. MediaTek’s Helio X20 Chip. Retrieved from http://www.mediatek.com/products/mediatek-helio.Google ScholarGoogle Scholar
  125. A. Mehran, A. Khademzadeh, and S. Saeidi. 2008. DSM: A heuristic dynamic spiral mapping algorithm for network on chip. IEICE Electron. Expr. 13 (2008), 464--471.Google ScholarGoogle ScholarCross RefCross Ref
  126. A. Melani, M. Bertogna, V. Bonifaci, A. Marchetti-Spaccamela, and G. C. Buttazzo. 2015. Response-time analysis of conditional DAG tasks in multiprocessor systems. In Proceedings of IEEE Euromicro Conference on Real-Time Systems (ECRTS). 211--221. Google ScholarGoogle ScholarDigital LibraryDigital Library
  127. Hashan Roshantha Mendis, Leandro Soares Indrusiak, and Neil C. Audsley. 2014. Predictability and utilisation trade-off in the dynamic management of multiple video stream decoding on network-on-chip based homogeneous embedded multi-cores. In Proceedings of the International Conference on Real-Time Networks and Systems (RTNS). 161--170. Google ScholarGoogle ScholarDigital LibraryDigital Library
  128. Hashan R. Mendis, Leandro Soares Indrusiak, and Neil C. Audsley. 2015. Bio-inspired distributed task remapping for multiple video stream decoding on homogeneous NoCs. In Proceedings of IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia). 1--10.Google ScholarGoogle Scholar
  129. Brett H. Meyer, Adam S. Hartman, and Donald E. Thomas. 2010. Cost-effective slack allocation for lifetime improvement in NoC-based MPSoCs. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 1596--1601. Google ScholarGoogle ScholarDigital LibraryDigital Library
  130. Mehdi Modarressi, Marjan Asadinia, and Hamid Sarbazi-Azad. 2013. Using task migration to improve non-contiguous processor allocation in NoC-based CMPs. J. Syst. Arch. 59, 7 (2013), 468--481. Google ScholarGoogle ScholarDigital LibraryDigital Library
  131. S. Mohanty, V. K. Prasanna, S. Neema, and J. Davis. 2002. Rapid design space exploration of heterogeneous embedded systems using symbolic search and multi-granular simulation. SIGPLAN Not. 37, 7 (2002), 18--27. Google ScholarGoogle ScholarDigital LibraryDigital Library
  132. Orlando Moreira, Jan-David Mol, Marco Bekooij, and Jef Van Meerbergen. 2005. Multiprocessor resource allocation for hard-real-time streaming with a dynamic job-mix. In Proceedings of the IEEE Real Time and Embedded Technology and Applications Symposium (RTAS). 332--341. Google ScholarGoogle ScholarDigital LibraryDigital Library
  133. Orlando Moreira, Jacob Jan-David Mol, and Marco Bekooij. 2007. Online resource management in a multiprocessor with a network-on-chip. In Proceedings of ACM Symposium on Applied Computing (SAC). 1557--1564. Google ScholarGoogle ScholarDigital LibraryDigital Library
  134. Orlando Moreira, Frederico Valente, and Marco Bekooij. 2007. Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor. In Proceedings of ACM International Conference on Embedded Software (EMSOFT). 57--66. Google ScholarGoogle ScholarDigital LibraryDigital Library
  135. Pierre-André Mudry and Gianluca Tempesti. 2009. Self-scaling stream processing: A bio-inspired approach to resource allocation through dynamic task replication. In Proceedings of the IEEE NASA/ESA Conference on Adaptive Hardware and Systems (AHS). 353--360. Google ScholarGoogle ScholarDigital LibraryDigital Library
  136. Srinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, and Giovanni De Micheli. 2006. A methodology for mapping multiple use-cases onto networks on chips. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 118--123. Google ScholarGoogle ScholarDigital LibraryDigital Library
  137. Srinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta, Stephen Boyd, Luca Benini, and Giovanni De Micheli. 2008. Temperature control of high-performance multi-core platforms using convex optimization. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 110--115. Google ScholarGoogle ScholarDigital LibraryDigital Library
  138. Sasmita Kumari Nayak, Sasmita Kumari Padhy, and Siba Prasada Panigrahi. 2012. A novel algorithm for dynamic task scheduling. Future Gen. Comput. Syst. 28, 5 (2012), 709--717. Google ScholarGoogle ScholarDigital LibraryDigital Library
  139. J. Ng, X. Wang, A. K. Singh, and T. Mak. 2015. DeFrag: Defragmentation for efficient runtime resource allocation in NoC-based many-core systems. In Proceedings of the Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP). 345--352. Google ScholarGoogle ScholarDigital LibraryDigital Library
  140. T. Nishitha and P. Chenna Reddy. 2012. Performance evaluation Of AntHocNet routing algorithm in Ad Hoc networks. In Proceedings of the IEEE International Conference on Computing Sciences (ICCS). 207--211. Google ScholarGoogle ScholarDigital LibraryDigital Library
  141. Vincent Nollet, Prabhat Avasare, Hendrik Eeckhaut, Diederik Verkest, and Henk Corporaal. 2008. Run-time management of a MPSoC containing FPGA fabric tiles. IEEE Trans. VLSI Syst. (2008), 24--33. Google ScholarGoogle ScholarDigital LibraryDigital Library
  142. OpenCL. 2016. Open Computing Language (OpenCL) - The open standard for parallel programming of heterogeneous systems. Retrieved from https://goo.gl/A9wXRJ.Google ScholarGoogle Scholar
  143. Luciano Ost, Marcelo Mandelli, Gabriel Marchesan Almeida, Leandro Moller, Leandro Soares Indrusiak, Gilles Sassatelli, Pascal Benoit, Manfred Glesner, Michel Robert, and Fernando Moraes. 2013. Power-aware dynamic mapping heuristics for NoC-based MPSoCs using a unified model-based approach. ACM Trans. Embed. Comput. Syst. 12, 3 (2013), 75:1--75:22. Google ScholarGoogle ScholarDigital LibraryDigital Library
  144. Z. Peter, S. Gilles, U. Nurten, S. J. Nicolas, B. Pascal, and G. Manfred. 2009. A decentralised task mapping approach for homogeneous multiprocessor network-on-chips. Int. J. Reconfig. Comput. (2009), 3:1--3:14. Google ScholarGoogle ScholarDigital LibraryDigital Library
  145. R. Piscitelli and A. D. Pimentel. 2012. Design space pruning through hybrid analysis in system-level design space exploration. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 781--786. Google ScholarGoogle ScholarDigital LibraryDigital Library
  146. Ruxandra Pop and Shashi Kumar. 2004. A survey of techniques for mapping and scheduling applications to network on chip systems. School of Engineering, Jonkoping University, Research Report 4 (2004), 4.Google ScholarGoogle Scholar
  147. Alok Prakash, Siqi Wang, Alexandru Eugen Irimiea, and Tulika Mitra. 2015. Energy-efficient execution of data-parallel applications on heterogeneous mobile platforms. In Proceedings of IEEE International Conference on Computer Design (ICCD). 208--215. Google ScholarGoogle ScholarDigital LibraryDigital Library
  148. Wei Quan and Andy D. Pimentel. 2015. A hybrid task mapping algorithm for heterogeneous MPSoCs. ACM Trans. Embed. Comput. Syst. 14, 1 (2015), 14:1--14:25. Google ScholarGoogle ScholarDigital LibraryDigital Library
  149. Wei Quan and Andy D. Pimentel. 2016. A hierarchical run-time adaptive resource allocation framework for large-scale MPSoC systems. Des. Autom. Embed. Syst. 20, 4 (2016), 311--339. Google ScholarGoogle ScholarDigital LibraryDigital Library
  150. Juan Maria Rivas, José Javier Gutiérrez García, José C. Palencia Gutiérrez, and Michael González Harbour. 2010. Optimized deadline assignment and schedulability analysis for distributed real-time systems with local EDF scheduling. In ESA. 150--156.Google ScholarGoogle Scholar
  151. Matthew Rowlings, Andy Tyrrell, and Martin Trefzer. 2015. Social-insect-inspired networking for autonomous load optimisation. Proc. CIRP 38 (2015), 259--264.Google ScholarGoogle ScholarCross RefCross Ref
  152. Siva Satyendra Sahoo, Akash Kumar, and Bharadwaj Veeravalli. 2016. Design and evaluation of reliability-oriented task re-mapping in MPSoCs using time-series analysis of intermittent faults. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 798--803. Google ScholarGoogle ScholarDigital LibraryDigital Library
  153. Pradip Kumar Sahu and Santanu Chattopadhyay. 2013. A survey on application mapping strategies for network-on-chip design. J. Syst. Arch. 59, 1 (2013), 60--76. Google ScholarGoogle ScholarDigital LibraryDigital Library
  154. A. Saifullah, K. Agrawal, Chenyang Lu, and C. Gill. 2011. Multi-core real-time scheduling for generalized parallel task models. In IEEE Real-Time Systems Symposium (RTSS). 217--226. Google ScholarGoogle ScholarDigital LibraryDigital Library
  155. Manas Saksena and Seongsoo Hong. 1996. An engineering approach to decomposing end-to-end delays on a distributed real-time system. In IEEE International Workshop on Parallel and Distributed Real-Time Systems. 244--251. Google ScholarGoogle ScholarDigital LibraryDigital Library
  156. Samsung. 2014. Samsung Exynos 5422. (2014). Retrieved from www.samsung.com/exynos/.Google ScholarGoogle Scholar
  157. Lars Schor, Iuliana Bacivarov, Devendra Rai, Hoeseok Yang, Shin-Haeng Kang, and Lothar Thiele. 2012. Scenario-based design flow for mapping streaming applications onto on-chip many-core systems. In Proceedings of ACM Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES). 71--80. Google ScholarGoogle ScholarDigital LibraryDigital Library
  158. Andreas Schranzhofer, Jian-Jia Chen, and Lothar Thiele. 2009. Power-aware mapping of probabilistic applications onto heterogeneous MPSoC platforms. In IEEE Real Time and Embedded Technology and Applications Symposium (RTAS). 151--160. Google ScholarGoogle ScholarDigital LibraryDigital Library
  159. A. Schranzhofer, Jian-Jian Chen, and L. Thiele. 2010. Dynamic power-aware mapping of applications onto heterogeneous MPSoC platforms. IEEE Trans. Industr. Inf. 4 (2010), 692--707.Google ScholarGoogle ScholarCross RefCross Ref
  160. Nicola Serreli, Giuseppe Lipari, and Enrico Bini. 2009. Deadline assignment for component-based analysis of real-time transactions. In Workshop on Compositional Real-Time Systems. Citeseer.Google ScholarGoogle Scholar
  161. Hafiz Fahad Sheikh and Ishfaq Ahmad. 2014. Efficient heuristics for joint optimization of performance, energy, and temperature in allocating tasks to multi-core processors. In IEEE International Green Computing Conference (IGCC). 1--8.Google ScholarGoogle ScholarCross RefCross Ref
  162. Hamid Shojaei, AmirHossein Ghamarian, Twan Basten, Marc Geilen, Sander Stuijk, and Rob Hoes. 2009. A parameterized compositional multi-dimensional multiple-choice knapsack heuristic for CMP run-time management. In Proceedings of ACM Design Automation Conference (DAC). 917--922. Google ScholarGoogle ScholarDigital LibraryDigital Library
  163. Amit Kumar Singh, Anup Das, and Akash Kumar. 2013a. Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems. In Proceedings of ACM Design Automation Conference (DAC). Article 115, 7 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  164. Amit Kumar Singh, Anup Das, and Akash Kumar. 2013b. RAPIDITAS: RAPId design-space-exploration incorporating trace-based analysis and simulation. In Proceedings of IEEE Euromicro Conference on Digital System Design (DSD). 836--843. Google ScholarGoogle ScholarDigital LibraryDigital Library
  165. Amit Kumar Singh, Piotr Dziurzanski, and Leandro Soares Indrusiak. 2015a. Market-inspired dynamic resource allocation in many-core high-performance computing systems. In IEEE International Conference on High Performance Computing 8 Simulation (HPCS). 413--420.Google ScholarGoogle ScholarCross RefCross Ref
  166. Amit Kumar Singh, Piotr Dziurzanski, and Leandro Soares Indrusiak. 2015b. Value and energy optimizing dynamic resource allocation in many-core HPC systems. In IEEE International Conference on Cloud Computing Technology and Science (CloudCom). 180--185. Google ScholarGoogle ScholarDigital LibraryDigital Library
  167. Amit Kumar Singh, Piotr Dziurzanski, and Leandro Soares Indrusiak. 2016. Value and energy aware adaptive resource allocation of soft real-time jobs on many-core HPC data centers. In IEEE International Symposium on Real-Time Computing (ISORC).Google ScholarGoogle ScholarCross RefCross Ref
  168. Amit Kumar Singh, Akash Kumar, and Thambipillai Srikanthan. 2013a. Accelerating throughput-aware runtime mapping for heterogeneous MPSoCs. ACM Trans. Des. Autom. Electron. Syst. 18, 1, Article 9 (January 2013), 1--29. Google ScholarGoogle ScholarDigital LibraryDigital Library
  169. Amit Kumar Singh, Muhammad Shafique, Akash Kumar, and Jörg Henkel. 2013b. Mapping on multi-/many-core systems: Survey of current and emerging trends. In Proceedings of ACM Design Automation Conference (DAC). Article 1. Google ScholarGoogle ScholarDigital LibraryDigital Library
  170. A. K. Singh, M. Shafique, A. Kumar, and J. Henkel. 2016a. Analysis and mapping for thermal and energy efficiency of 3-D video processing on 3-D multicore processors. IEEE Trans. VLSI 24, 8 (2016), 2745--2758.Google ScholarGoogle ScholarDigital LibraryDigital Library
  171. Amit Kumar Singh, Muhammad Shafique, Akash Kumar, and Jorg Henkel. 2016b. Resource and throughput aware execution trace analysis for efficient run-time mapping on MPSoCs. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 35, 1 (2016), 72--85.Google ScholarGoogle ScholarDigital LibraryDigital Library
  172. L. T. Smit, G. J. M. Smit, J. L. Hurink, H. Broersma, D. Paulusma, and P. T. Wolkotte. 2004. Run-time mapping of applications to a heterogeneous reconfigurable tiled system on chip architecture. In Proceedings of IEEE International Conference on Field-Programmable Technology (FPT). 421--424.Google ScholarGoogle Scholar
  173. John A. Stankovic, Chenyang Lu, Sang H. Son, and Gang Tao. 1999. The case for feedback control real-time scheduling. In Proceedings of IEEE Euromicro Conference on Real-Time Systems (ECRTS). 11--20.Google ScholarGoogle ScholarCross RefCross Ref
  174. S. Stuijk, M. C. W. Geilen, and T. Basten. 2006. SDF3: SDF For Free. In Proceedings of IEEE Conference on Application of Concurrency to System Design (ACSD). 276--278. Google ScholarGoogle ScholarDigital LibraryDigital Library
  175. Sander Stuijk, Marc Geilen, and Twan Basten. 2010. A predictable multiprocessor design flow for streaming applications with dynamic behaviour. In Proceedings of IEEE Euromicro Conference on Digital System Design (DSD). 548--555. Google ScholarGoogle ScholarDigital LibraryDigital Library
  176. Guang Sun, Yong Li, Yuanyuan Zhang, Li Su, Depeng Jin, and Lieguang Zeng. 2010. Energy-aware run-time mapping for homogeneous NoC. In IEEE International Symposium on System on Chip (SoC). 8--11.Google ScholarGoogle Scholar
  177. Timon D. ter Braak, Philip K. F. Hölzenspies, Jan Kuper, Johann L. Hurink, and Gerard J. M. Smit. 2010. Run-time spatial resource management for real-time applications on heterogeneous MPSoCs. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 357--362. Google ScholarGoogle ScholarDigital LibraryDigital Library
  178. Theocharis Theocharides, Maria K. Michael, Marios Polycarpou, and Ajit Dingankar. 2009. Towards embedded runtime system level optimization for MPSoCs: On-chip task allocation. In Proceedings of ACM Great Lakes symposium on VLSI (GLSVLSI). 121--124. Google ScholarGoogle ScholarDigital LibraryDigital Library
  179. Theocharis Theocharides, Maria K. Michael, Marios Polycarpou, and Ajit Dingankar. 2010. Hardware-enabled dynamic resource allocation for manycore systems using bidding-based system feedback. EURASIP J. Embedded Syst. 2010, Article 3 (2010), 21 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  180. Lothar Thiele, Lars Schor, Hoeseok Yang, and Iuliana Bacivarov. 2011. Thermal-aware system analysis and software synthesis for embedded multi-processors. In Proceedings of ACM Design Automation Conference (DAC). 268--273. Google ScholarGoogle ScholarDigital LibraryDigital Library
  181. TILE-Gx. 2009. First 100-core Processor with the New TILE-Gx Family. Retrieved February 12, 2016 http://www.tilera.com/.Google ScholarGoogle Scholar
  182. Stavros Tzilis, Ioannis Sourdis, Vasileios Vasilikos, Dimitrios Rodopoulos, and Dimitrios Soudris. 2016. Runtime management of adaptive MPSoCs for graceful degradation. In Proceedings of ACM Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES). 1--10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  183. S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Iyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote, and N. Borkar. 2007. An 80-Tile 1.28TFLOPS network-on-chip in 65nm CMOS. In Proceedings of IEEE International Solid-State Circuits Conference (ISSCC). 98--589.Google ScholarGoogle Scholar
  184. Feng Wang, Yibo Chen, C. Nicopoulos, X. Wu, Y. Xie, and N. Vijaykrishnan. 2011. Variation-aware task and communication mapping for MPSoC architecture. IEEE Trans. Comput.-Aid. Des. Integr. Cir. Syst. 2 (2011), 295--307. Google ScholarGoogle ScholarDigital LibraryDigital Library
  185. Shengquan Wang and Jian-Jia Chen. 2010. Thermal-aware lifetime reliability in multicore systems. In Proceedings of International Symposium on Quality Electronic Design (ISQED). 399--405.Google ScholarGoogle ScholarCross RefCross Ref
  186. Xiaohang Wang, Baoxin Zhao, Terrence Mak, Mei Yang, Yingtao Jiang, and Masoud Daneshtalab. 2015. An efficient runtime power allocation scheme for many-core systems inspired from auction theory. VLSI J. 50 (2015), 147--157. Google ScholarGoogle ScholarDigital LibraryDigital Library
  187. Andreas Weichslgartner, Deepak Gangadharan, Stefan Wildermann, Michael Glaß, and Jürgen Teich. 2014. DAARM: Design-time application analysis and run-time mapping for predictable execution in many-core systems. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). 34:1--34:10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  188. Stefan Wildermann, Felix Reimann, Daniel Ziener, and Jürgen Teich. 2011. Symbolic design space exploration for multi-mode reconfigurable systems. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). 129--138. Google ScholarGoogle ScholarDigital LibraryDigital Library
  189. Dong Hyuk Woo and Hsien-Hsin S. Lee. 2008. Extending Amdahl’s law for energy-efficient computing in the many-core era. Computer 41, 12 (2008). Google ScholarGoogle ScholarDigital LibraryDigital Library
  190. Frédéric Worm, Paolo Ienne, Patrick Thiran, and Giovanni De Micheli. 2002. An adaptive low-power transmission scheme for on-chip networks. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). 92--100. Google ScholarGoogle ScholarDigital LibraryDigital Library
  191. Xilinx. 2016. Zynq UltraScale+ EG MPSoC. Retrieved from https://www.xilinx.com/products/silicon-devices/soc/zynq-ultrascale-mpsoc.html.Google ScholarGoogle Scholar
  192. Liping Xue, Ozcan ozturk, Feihui Li, Mahmut Kandemir, and I. Kolcu. 2006. Dynamic partitioning of processing and memory resources in embedded MPSoC architectures. In Proceedings of IEEE Conference on Design, Automation and Test in Europe (DATE). 690--695. Google ScholarGoogle ScholarDigital LibraryDigital Library
  193. Peng Yang, Paul Marchal, Chun Wong, Stefaan Himpe, Francky Catthoor, Patrick David, Johan Vounckx, and Rudy Lauwereins. 2002. Managing dynamic concurrent tasks in embedded real-time multimedia systems. In Proceedings of IEEE/ACM/IFIP Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES). 112--119. Google ScholarGoogle ScholarDigital LibraryDigital Library
  194. Chee Shin Yeo and Rajkumar Buyya. 2006. A taxonomy of market-based resource management systems for utility-driven cluster computing. Softw. Pract. Exper. 36, 13 (Nov. 2006), 1381--1419. Google ScholarGoogle ScholarDigital LibraryDigital Library
  195. C. Ykman-Couvreur, P. Avasare, G. Mariani, G. Palermo, C. Silvano, and V. Zaccaria. 2011. Linking run-time resource management of embedded multi-core platforms with automated design-time exploration. IET Comput. Dig. Techn. 2 (2011), 123--135.Google ScholarGoogle ScholarCross RefCross Ref
  196. Nicholas H. Zamora, Xiaoping Hu, and Radu Marculescu. 2007. System-level performance/power analysis for platform-based design of multimedia applications. ACM Trans. Des. Autom. Electron. Syst. 2, 1 (2007). Google ScholarGoogle ScholarDigital LibraryDigital Library
  197. Luyuan Zeng, Pengcheng Huang, and Lothar Thiele. 2016. Towards the design of fault-tolerant mixed-criticality systems on multicores. In Proceedings of ACM Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES). 6:1--6:10. Google ScholarGoogle ScholarDigital LibraryDigital Library
  198. Xiuyi Zhou, Jun Yang, Yi Xu, Youtao Zhang, and Jianhua Zhao. 2010. Thermal-aware task scheduling for 3D multicore processors. IEEE Trans. Parallel Distrib. Syst. (2010), 60--71. Google ScholarGoogle ScholarDigital LibraryDigital Library
  199. Yifan Zhu and Frank Mueller. 2005. Feedback EDF scheduling of real-time tasks exploiting dynamic voltage scaling. Real-Time Syst. 31, 1-3 (2005), 33--63. Google ScholarGoogle ScholarDigital LibraryDigital Library
  200. Sergey Zhuravlev, Juan Carlos Saez, Sergey Blagodurov, Alexandra Fedorova, and Manuel Prieto. 2012. Survey of scheduling techniques for addressing shared resources in multicore processors. ACM Comput. Surv. 45, 1 (2012). Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A Survey and Comparative Study of Hard and Soft Real-Time Dynamic Resource Allocation Strategies for Multi-/Many-Core Systems

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Computing Surveys
          ACM Computing Surveys  Volume 50, Issue 2
          March 2018
          567 pages
          ISSN:0360-0300
          EISSN:1557-7341
          DOI:10.1145/3071073
          • Editor:
          • Sartaj Sahni
          Issue’s Table of Contents

          Copyright © 2017 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 11 April 2017
          • Revised: 1 February 2017
          • Accepted: 1 February 2017
          • Received: 1 August 2016
          Published in csur Volume 50, Issue 2

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • survey
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader