skip to main content
research-article

Cycle-Accurate Network on Chip Simulation with Noxim

Published:16 August 2016Publication History
Skip Abstract Section

Abstract

The on-chip communication in current Chip-MultiProcessors (CMP) and MultiProcessor-SoC (MPSoC) is mainly based on the Network-on-Chip (NoC) design paradigm. Unfortunately, it is foreseen that conventional NoC architectures cannot sustain the performance, power, and reliability requirements demanded by the next generation of manycore architectures. Recently, emerging on-chip communication technologies, like wireless Networks-on-Chip (WiNoCs), have been proposed as candidate solutions for addressing the scalability limitations of conventional multi-hop NoC architectures. In a WiNoC, a subset of network nodes are equipped with a wireless interface which allows them long-range communication in a single hop. Assessing the performance and power figures of NoC and WiNoC architectures requires the availability of simulation tools that are often limited on modeling specific network configurations. This article presents Noxim, an open, configurable, extendible, cycle-accurate NoC simulator developed in SystemC, which allows to analyze the performance and power figures of both conventional wired NoC and emerging WiNoC architectures.

References

  1. Sergi Abadal, Mario Iannazzo, Mario Nemirovsky, Albert Cabellos-Aparicio, Heekwan Lee, and Eduard Alarcón. 2015. On the area and energy scalability of wireless network-on-chip: A model-based benchmarked design space exploration. IEEE/ACM Transactions on Networking 23, 5 (2015), 1501--1513. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Arteris. 2015. FlexNoCTM. Retrieved from http://www.arteris.com/flexnoc.Google ScholarGoogle Scholar
  3. G. Ascia, V. Catania, M. Palesi, and D. Patti. 2004. Multi-objective optimization of a parameterized VLIW architecture. In Proceedings of the 2004 NASA/DoD Conference on Evolvable Hardware. 191--198.Google ScholarGoogle Scholar
  4. G. Ascia, V. Catania, M. Palesi, and D. Patti. 2006. Neighbors-on-path: A new selection strategy for on-chip networks. In Proceedings of the 2006 IEEE/ACM/IFIP Workshop on Embedded Systems for Real Time Multimedia (ESTIMEDIA’06). 79--84. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Luca P. Carloni, Partha Pande, and Yuan Xie. 2009. Networks-on-chip in emerging interconnect paradigms: Advantages and challenges. In Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip. IEEE Computer Society, 93--102. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Vincenzo Catania, Andrea Mineo, Salvatore Monteleone, Maurizio Palesi, and Davide Patti. 2015. Noxim: An open, extensible and cycle-accurate network on chip simulator. In Proceedings of the 2015 IEEE 26th International Conference on Application-specific Systems, Architectures and Processors (ASAP’15). IEEE, 162--163.Google ScholarGoogle ScholarCross RefCross Ref
  7. Vincenzo Catania, Andrea Mineo, Salvatore Monteleone, and Davide Patti. 2014. Distributed topology discovery in self-assembled nano network-on-chip. Computers & Electrical Engineering 40, 8 (2014), 292-- 306. DOI:http://dx.doi.org/10.1016/j.compeleceng.2014.09.003 Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Ge-Ming Chiu. 2000. The odd-even turn model for adaptive routing. IEEE Transactions on Parallel Distributed Systems 11, 7 (2000), 729--738. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Marcello Coppola, Riccardo Locatelli, Giuseppe Maruccia, Lorenzo Pieralisi, and Alberto Scandurra. 2004. Spidergon: A novel on-chip communication network. In Proceedings of the 2004 International Symposium on System-on-Chip. IEEE, 15.Google ScholarGoogle ScholarCross RefCross Ref
  10. Brian J. Dally and Brian Towles. 2001. Route packets, not wires: On-chip interconnection networks. In Proceedings of the Design Automation Conference. 684--689. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. William J. Dally and Brian Towles. 2004. Principles and Practices of Interconnection Networks. Morgan Kaufmann, San Francisco, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Deb, K. Chang, Xinmin Yu, S. P. Sah, M. Cosic, A. Ganguly, P. P. Pande, B. Belzer, and Deukhyoun Heo. 2013. Design of an energy-efficient CMOS-compatible NoC architecture with millimeter-wave wireless interconnects. IEEE Transactions on Computers 62, 12 (Dec 2013), 2382--2396. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Sujay Deb, Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, and Deukhyoun Heo. 2012a. Wireless NoC as interconnection backbone for multicore chips: Promises and challenges. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2, 2 (2012), 228--239.Google ScholarGoogle ScholarCross RefCross Ref
  14. Sujay Deb, Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, and Deukhyoun Heo. 2012b. Wireless NoC as interconnection backbone for multicore chips: Promises and challenges. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2, 2 (2012), 228--239.Google ScholarGoogle ScholarCross RefCross Ref
  15. Christopher J. Glass and Lionel M. Ni. 1998. The turn model for adaptive routing. In 25 Years ISCA: Retrospectives and Reprints. 441--450. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Ron Ho, Kenneth W. Mai, Student Member, and Mark A. Horowitz. 2001. The future of wires. In Proceedings of the IEEE. 490--504.Google ScholarGoogle Scholar
  17. Jingcao Hu and Radu Marculescu. 2004. DyAD - smart routing for networks-on-chip. In Proceedings of the ACM/IEEE Design Automation Conference. San Diego, CA, 260--263. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Accellera Systems Initiative. 2009. Transaction-Level Modeling 2.0.1. Retrieved from http://www.accellera. org/images/downloads/standards/systemc/TLM-2.0.1.tgz.Google ScholarGoogle Scholar
  19. Accellera Systems Initiative. 2011. SystemC. Retrieved from http://www.accellera.org/downloads/standards/ systemc.Google ScholarGoogle Scholar
  20. Nan Jiang, Daniel U. Becker, George Michelogiannakis, James Balfour, Brian Towles, David E Shaw, John Kim, and William J Dally. 2013. A detailed and flexible cycle-accurate network-on-chip simulator. In Performance Analysis of Systems and Software (ISPASS), 2013 IEEE International Symposium on. 86--96.Google ScholarGoogle ScholarCross RefCross Ref
  21. Mieszko Lis, Keun Sup Shim, Myong Hyon Cho, Pengju Ren, Omer Khan, and Srinivas Devadas. 2010. DARSIM: A parallel cycle-level NoC simulator. In Proceedings of the 2010 6th Annual Workshop on Modeling, Benchmarking and Simulation.Google ScholarGoogle Scholar
  22. Aline Mello, Ney Calazans, and Fernando Moraes. 2011. ATLAS-an environment for NoC generation and evaluation. Retrieved from http://www.date-conference.com/files/file/date11/ubooth/125.pdf.Google ScholarGoogle Scholar
  23. Inc Mentor Graphics. 2015. ModelSim. Retrieved from http://www.mentor.com/products/fv/modelsim/.Google ScholarGoogle Scholar
  24. Andrea Mineo, Maurizio Palesi, Giuseppe Ascia, and Vincenzo Catania. 2014. An adaptive transmitting power technique for energy efficient mm-wave wireless NoCs. In Proceedings of the Design Automation and Test in Europe. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Prasant Mohapatra. 1998. Wormhole routing techniques for directly connected multicomputer systems. Computing Surveys 30, 8 (Sept. 1998), 374--410. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. U. Y. Ogras and R. Marculescu. 2006. “It’s a small world after all”: NoC performance optimization via long-range link insertion. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14, 7 (2006), 693--706. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Maurizio Palesi, Mario Collotta, Andrea Mineo, and Vincenzo Catania. 2015. An efficient radio access control mechanism for wireless network-on-chip architectures. Journal of Low Power Electronics and Applications 5, 2 (2015), 38--56.Google ScholarGoogle ScholarCross RefCross Ref
  28. Maurizio Palesi, Rickard Holsmark, Shashi Kumar, and Vincenzo Catania. 2009. Application specific routing algorithms for networks on chip. IEEE Transactions on Parallel and Distributed Systems 20, 3 (March 2009), 316--330. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. M. Palesi, D. Patti, G. Ascia, D. Panno, and V. Catania. 2015. Coupling routing algorithm and data encoding for low power networks on chip. Journal of Computer Science 11, 3 (2015), 552--566.Google ScholarGoogle ScholarCross RefCross Ref
  30. D. Patti, A. Spadaccini, M. Palesi, F. Fazzino, and V. Catania. 2012. Supporting undergraduate computer architecture students using a visual MIPS64 CPU simulator. IEEE Transactions on Education 55, 3 (2012), 406--411. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Wettin Paul, Ryan Kim, Jacob Murray, Xinmin Yu, Partha P. Pande, Amlan Ganguly, and Deukhyoun Heo. 2014. Design space exploration for wireless NoCs incorporating irregular network routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 33, 11 (Nov 2014), 1732--1745. DOI:http://dx.doi.org/10.1109/TCAD.2014.2351577Google ScholarGoogle Scholar
  32. C. Roth, H. Bucher, S. Reder, F. Buciuman, O. Sander, and J. Becker. 2013. A SystemC modeling and simulation methodology for fast and accurate parallel MPSoC simulation. In Proceedings of the 2013 26th Symposium on Integrated Circuits and Systems Design (SBCCI). 1--6. DOI:http://dx.doi.org/10.1109/ SBCCI.2013.6644853Google ScholarGoogle ScholarCross RefCross Ref
  33. R. Sinha, A. Prakash, and H. D. Patel. 2012. Parallel simulation of mixed-abstraction SystemC models on GPUs and multicore CPUs. In Proceedings of the 17th Asia and South Pacific Design Automation Conference. 455--460. DOI:http://dx.doi.org/10.1109/ASPDAC.2012.6164991Google ScholarGoogle ScholarCross RefCross Ref
  34. I. Suzuki. 1990. Formal analysis of the alternating bit protocol by temporal petri nets. IEEE Transactions on Software Engineering 16, 11 (1990), 1273--1281. DOI:http://dx.doi.org/10.1109/32.60315 Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Chifeng Wang, Wen-Hsiang Hu, and Nader Bagherzadeh. 2011. A wireless network-on-chip design for multicore platforms. In Proceedings of the 2011 19th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP). IEEE, 409--416. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Xinmin Yu, Joe Baylon, Paul Wettin, Deukhyoun Heo, Partha Pratim Pande, and Shahriar Mirabbasi. 2014a. Architecture and design of multichannel millimeter-wave wireless NoC. IEEE Design Test, IEEE 31, 6 (Dec 2014), 19--28.Google ScholarGoogle Scholar
  37. Xinmin Yu, Suman Prasad Sah, Rashtian, Hooman Rashtian, Shahriar Mirabbasi, Partha Pratim Pande, and Deukhyoun Heo. 2014b. A 1.2-pJ/bit 16-Gb/s 60-GHz OOK transmitter in 65-nm CMOS for wireless network-on-chip. IEEE Transactions on Microwave Theory and Techniques 62, 10 (Oct 2014), 2357--2369.Google ScholarGoogle ScholarCross RefCross Ref
  38. Dan Zhao and Yi Wang. 2008. SD-MAC: Design and synthesis of a hardware-efficient collision-free QoS-aware MAC protocol for wireless network-on-chip. IEEE Transactions on Computers 57, 9 (2008), 1230--1245. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Cycle-Accurate Network on Chip Simulation with Noxim

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image ACM Transactions on Modeling and Computer Simulation
            ACM Transactions on Modeling and Computer Simulation  Volume 27, Issue 1
            January 2017
            150 pages
            ISSN:1049-3301
            EISSN:1558-1195
            DOI:10.1145/2982568
            Issue’s Table of Contents

            Copyright © 2016 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 16 August 2016
            • Accepted: 1 June 2016
            • Revised: 1 May 2016
            • Received: 1 November 2015
            Published in tomacs Volume 27, Issue 1

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article
            • Research
            • Refereed

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader