skip to main content
research-article

Ripple 2.0: Improved Movement of Cells in Routability-Driven Placement

Authors Info & Claims
Published:02 September 2016Publication History
Skip Abstract Section

Abstract

Routability is one of the most important problems in high-performance circuit designs. From the viewpoint of placement design, two major factors cause routing congestion: (i) interconnections between cells and (ii) connections on macro blockages. In this article, we present a routability-driven placer, Ripple 2.0, which emphasizes both kinds of routing congestion. Several techniques will be presented, including (i) cell inflation with routing path consideration, (ii) congested cluster optimization, (iii) routability-driven cell spreading, and (iv) simultaneous routing and placement for routability refinement. With the official evaluation protocol, Ripple 2.0 outperforms other published academic routability-driven placers. Compared with top results in the ICCAD 2012 contest, Ripple 2.0 achieves a better detailed routing solution obtained by a commercial router.

References

  1. U. Brenner and A. Rohe. 2003. An effective congestion-driven placement framework. TCAD 22, 4 (2003), 387--394. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Tony F. Chan, Jason Cong, Joseph R. Shinnerl, Kenton Sze, and Min Xie. 2006. mPL6: Enhanced multilevel mixed-size placement. In Proceedings of the 2006 International Symposium on Physical Design. ACM, 212--214. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, and Chang-Jen Wang. 2014a. Routability-driven blockage-aware macro placement. In Proceedings of the the 51st Annual Design Automation Conference. ACM, USA, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Yi Fang Chen, Chau Chin Huang, Chien Hsiung Chiou, Yao Wen Chang, and Chang Jen Wang. 2014b. Routability-driven blockage-aware macro placement. In Design Automation Conference. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Yi-Lin Chuang, Gi-Joon Nam, Charles J. Alpert, Yao-Wen Chang, Jarrod Roy, and Natarajan Viswanathan. 2010. Design-hierarchy aware mixed-size placement for routability optimization. In ICCAD. IEEE, USA, 663--668. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Jason Cong, Guojie Luo, Kalliopi Tsota, and Bingjun Xiao. 2013. Optimizing routability in large-scale mixed-size placement. In ASP-DAC. Google ScholarGoogle ScholarCross RefCross Ref
  7. ICCAD. 2012 Contest. 2012. (2012). http://cad_contest.cs.nctu.edu.tw/CAD-contest-at-ICCAD2012/problems/p2/p2.html.Google ScholarGoogle Scholar
  8. Xu He, Wing-Kai Chow, and Evangeline F. Y. Young. March, 2013a. SRP: Simultaneous routing and placement for congestion refinement. In ISDP. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Xu He, Tao Huang, Wing-Kai Chow, Jian Kuang, Ka-Chun Lam, Wenzan Cai, and Evangeline F. Y. Young. 2013b. Ripple 2.0: High quality routability-driven placement via global router integration. In Design Automation Conference (DAC). ACM, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. X. He, T. Huang, L. Xiao, H. Tian, G. Cui, and E. F. Y. Young. 2011. Ripple: An effective routability-driven placer by iterative cell movement. In ICCAD. IEEE, USA, 74--79. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Xu He, Tao Huang, Linfu Xiao, Haitong Tian, and Evangeline F. Y. Young. 2013c. Ripple: A robust and effective routability-driven placer. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 10 (2013), 1546--1556. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Wenting Hou, Hong Yu, Xianlong Hong, Yici Cai, Weimin Wu, Jun Gu, and William H. Kao. 2001. A new congestion-driven placement algorithm based on cell inflation. In ASP-DAC. IEEE, 605--608. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M. K. Hsu, S. Chou, T. H. Lin, and Y. W. Chang. 2011. Routability-driven analytical placement for mixed-size circuit designs. In ICCAD. IEEE, 80--84. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Meng-Kai Hsu and Yao-Wen Chang. 2012. Unified analytical global placement for large-scale mixed-size circuit designs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31, 9 (2012), 1366--1378. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Meng-Kai Hsu, Yi-Fang Chen, Chau-Chin Huang, Tung-Chieh Chen, and Yao-Wen Chang. 2013. Routability-driven placement for hierarchical mixed-size circuit designs. In Proceedings of the 50th Annual Design Automation Conference. ACM, USA, 151. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Jin Hu, Myung-Chul Kim, and Igor L. Markov. 2013. Taming the complexity of coordinated place and route. In Design Automation Conference (DAC). IEEE, 1--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Jin Hu, Jarrod A. Roy, and Igor L. Markov. 2010. Completing high-quality global routes. In ISPD. ACM, USA, 35--41. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Zhe-Wei Jiang, Bor-Yiing Su, and Yao-Wen Chang. 2008. Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. In DAC. 167--172. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Tanuj Jindal, Charles J. Alpert, Jiang Hu, Zhuo Li, Gi Joon Nam, and C. Byron Winn. 2010. Detecting tangled logic structures in VLSI netlists. In Proceedings of the 47th ACM/IEEE Design Automation Conference (DAC 2010). IEEE, 603--608. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. A. B. Kahng and Q. Wang. 2005. Implementation and extensibility of an analytic placer. TCAD 24, 5 (2005), 734--747. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. A. B. Kahng and X. Xu. 2003. Accurate pseudo-constructive wirelength and congestion estimation. In SLIP. ACM, 61--68. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. M. C. Kim, J. Hu, D. J. Lee, and I. L. Markov. 2011. A SimPLR method for routability-driven placement. In ICCAD. IEEE Press, 67--73. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. M. C. Kim, D. J. Lee, and I. L. Markov. 2012a. SimPL: An effective placement algorithm. TCAD 31, 1 (2012), 50--60. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Myung-Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, and Shyam Ramji. 2012b. MAPLE: Multilevel adaptive placement for mixed-size designs. In Proceedings of the 2012 ACM International Symposium on International Symposium on Physical Design. ACM, 193--200. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. C. Li, M. Xie, C. K. Koh, J. Cong, and P. H. Madden. 2007. Routability-driven placement and white space allocation. TCAD 26, 5 (2007), 858--871. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Tao Lin and Chris Chu. 2014. POLAR 2.0: An effective routability-driven placer. In Proceedings of the the 51st Annual Design Automation Conference. ACM, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Tao Lin, Chris Chu, Joseph R. Shinnerl, Ismail Bustany, and Ivailo Nedelchev. 2013. POLAR: Placement based on novel rough legalization and refinement. In Proceedings of the 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 357--362. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. W. H. Liu, W. C. Kao, Y. L. Li, and K. Y. Chao. 2010. Multi-threaded collision-aware global routing with bounded-length maze routing. In DAC. ACM, 200--205. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li. 2013a. Case study for placement solutions in ispd11 and dac12 routability-driven placement contests. In Proceedings of the 2013 ACM International Symposium on Physical Design. ACM, 114--119. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li. 2013b. Optimization of placement solutions for routability. In Design Automation Conference (DAC). IEEE, 1--9. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Jingwei Lu, Pengwen Chen, Chin-Chih Chang, Lu Sha, Dennis J. Huang, Chin-Chi Teng, Chung-Kuan Cheng, and others. 2014. ePlace: Electrostatics based placement using nesterov’s method. In Proceedings of the 51st Annual Design Automation Conference. ACM, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Jingwei Lu, Hao Zhuang, Pengwen Chen, and Hongliang Chang. 2015. ePlace-MS: Electrostatics based placement for mixed-size circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 5 (2015), 1--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Gi Joon Nam. 2006. ISPD 2006 placement contest: Benchmark suite and results. ISPD (2006), 167--167. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. M. Pan and C. Chu. 2006. FastRoute: A step to integrate global routing into placement. In ICCAD. IEEE, USA, 464--471. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. M. Pan and C. Chu. 2007. IPR: An integrated placement and routing algorithm. In DAC. 59--62. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. M. Pan, N. Viswanathan, and C. Chu. 2005. An efficient and effective detailed placement algorithm. In ICCAD. IEEE Computer Society, 48--55. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Jarrod Roy, Natarajan Viswanathan, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov, and others. 2009. CRISP: Congestion reduction by iterated spreading during placement. In ICCAD. ACM, 357--362. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. C. Sham and E. F. Y. Young. 2005. Congestion prediction in early stages. In SLIP. ACM, USA, 91--98. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. P. Spindler and F. M. Johannes. 2007. Fast and accurate routing demand estimation for efficient routability-driven placement. In DATE. IEEE, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Peter Spindler, Ulf Schlichtmann, and Frank M. Johannes. 2008. Kraftwerk2: A fast force-directed quadratic placement approach using an accurate net model. TCAD, 27, 8 (2008), 1398--1411. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Markus Struzyna. 2013. Sub-quadratic objectives in quadratic placement. In Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1867--1872. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Translator. 2012. (2012). http://people.cs.nctu.edu.tw/∼whliu/NCTU-GR.htm.Google ScholarGoogle Scholar
  43. K. Tsota, C. K. Koh, and V. Balakrishnan. 2008. Guiding global placement with wire density. In ICCAD. IEEE, 212--217. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. N. Viswanathan, C. Alpert, C. Sze, Z. Li, and Y. Wei. 2012a. The DAC 2012 routability-driven placement contest and benchmark suite. In DAC. ACM, 774--782. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Natarajan Viswanathan, Charles Alpert, Cliff Sze, Zhuo Li, and Yaoguang Wei. 2012b. ICCAD-2012 CAD contest in design hierarchy aware routability-driven placement and benchmark suite. In ICCAD. IEEE, 345--348. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. Natarajan Viswanathan, Charles J. Alpert, Cliff Sze, Zhuo Li, Gi-Joon Nam, and Jarrod A. Roy. 2011. The ISPD-2011 routability-driven placement contest and benchmark suite. In ISPD. ACM, 141--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  47. Yaoguang Wei, Cliff Sze, Natarajan Viswanathan, Zhuo Li, Charles J. Alpert, Lakshmi Reddy, Andrew D. Huber, Gustavo E. Tellez, Douglas Keller, and Sachin S. Sapatnekar. 2012. GLARE: Global and local wiring aware routability evaluation. In DAC. ACM, 768--773. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. J. Westra, C. Bartels, and P. Groeneveld. 2004. Probabilistic congestion prediction. In ISPD. ACM, 204--209. Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. X. Yang, B. K. Choi, and M. Sarrafzadeh. 2003. Routability-driven white space allocation for fixed-die standard-cell placement. TCAD 22, 4 (2003), 410--419. Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. Vladimir Yutsis, Ismail S. Bustany, David Chinnery, Joseph R. Shinnerl, and Wen-Hao Liu. 2014. ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement. In Proceedings of the 2014 International Symposium on Physical Design. ACM, 161--168. Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. Y. Zhang and C. Chu. 2009. CROP: Fast and effective congestion refinement of placement. In ICCAD. IEEE, 344--350. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Ripple 2.0: Improved Movement of Cells in Routability-Driven Placement

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 22, Issue 1
      January 2017
      463 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/2948199
      • Editor:
      • Naehyuck Chang
      Issue’s Table of Contents

      Copyright © 2016 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 2 September 2016
      • Accepted: 1 April 2016
      • Revised: 1 March 2016
      • Received: 1 September 2015
      Published in todaes Volume 22, Issue 1

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader