Abstract
Routability is one of the most important problems in high-performance circuit designs. From the viewpoint of placement design, two major factors cause routing congestion: (i) interconnections between cells and (ii) connections on macro blockages. In this article, we present a routability-driven placer, Ripple 2.0, which emphasizes both kinds of routing congestion. Several techniques will be presented, including (i) cell inflation with routing path consideration, (ii) congested cluster optimization, (iii) routability-driven cell spreading, and (iv) simultaneous routing and placement for routability refinement. With the official evaluation protocol, Ripple 2.0 outperforms other published academic routability-driven placers. Compared with top results in the ICCAD 2012 contest, Ripple 2.0 achieves a better detailed routing solution obtained by a commercial router.
- U. Brenner and A. Rohe. 2003. An effective congestion-driven placement framework. TCAD 22, 4 (2003), 387--394. Google ScholarDigital Library
- Tony F. Chan, Jason Cong, Joseph R. Shinnerl, Kenton Sze, and Min Xie. 2006. mPL6: Enhanced multilevel mixed-size placement. In Proceedings of the 2006 International Symposium on Physical Design. ACM, 212--214. Google ScholarDigital Library
- Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, and Chang-Jen Wang. 2014a. Routability-driven blockage-aware macro placement. In Proceedings of the the 51st Annual Design Automation Conference. ACM, USA, 1--6. Google ScholarDigital Library
- Yi Fang Chen, Chau Chin Huang, Chien Hsiung Chiou, Yao Wen Chang, and Chang Jen Wang. 2014b. Routability-driven blockage-aware macro placement. In Design Automation Conference. 1--6. Google ScholarDigital Library
- Yi-Lin Chuang, Gi-Joon Nam, Charles J. Alpert, Yao-Wen Chang, Jarrod Roy, and Natarajan Viswanathan. 2010. Design-hierarchy aware mixed-size placement for routability optimization. In ICCAD. IEEE, USA, 663--668. Google ScholarDigital Library
- Jason Cong, Guojie Luo, Kalliopi Tsota, and Bingjun Xiao. 2013. Optimizing routability in large-scale mixed-size placement. In ASP-DAC. Google ScholarCross Ref
- ICCAD. 2012 Contest. 2012. (2012). http://cad_contest.cs.nctu.edu.tw/CAD-contest-at-ICCAD2012/problems/p2/p2.html.Google Scholar
- Xu He, Wing-Kai Chow, and Evangeline F. Y. Young. March, 2013a. SRP: Simultaneous routing and placement for congestion refinement. In ISDP. ACM. Google ScholarDigital Library
- Xu He, Tao Huang, Wing-Kai Chow, Jian Kuang, Ka-Chun Lam, Wenzan Cai, and Evangeline F. Y. Young. 2013b. Ripple 2.0: High quality routability-driven placement via global router integration. In Design Automation Conference (DAC). ACM, 1--6. Google ScholarDigital Library
- X. He, T. Huang, L. Xiao, H. Tian, G. Cui, and E. F. Y. Young. 2011. Ripple: An effective routability-driven placer by iterative cell movement. In ICCAD. IEEE, USA, 74--79. Google ScholarDigital Library
- Xu He, Tao Huang, Linfu Xiao, Haitong Tian, and Evangeline F. Y. Young. 2013c. Ripple: A robust and effective routability-driven placer. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 10 (2013), 1546--1556. Google ScholarDigital Library
- Wenting Hou, Hong Yu, Xianlong Hong, Yici Cai, Weimin Wu, Jun Gu, and William H. Kao. 2001. A new congestion-driven placement algorithm based on cell inflation. In ASP-DAC. IEEE, 605--608. Google ScholarDigital Library
- M. K. Hsu, S. Chou, T. H. Lin, and Y. W. Chang. 2011. Routability-driven analytical placement for mixed-size circuit designs. In ICCAD. IEEE, 80--84. Google ScholarDigital Library
- Meng-Kai Hsu and Yao-Wen Chang. 2012. Unified analytical global placement for large-scale mixed-size circuit designs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31, 9 (2012), 1366--1378. Google ScholarDigital Library
- Meng-Kai Hsu, Yi-Fang Chen, Chau-Chin Huang, Tung-Chieh Chen, and Yao-Wen Chang. 2013. Routability-driven placement for hierarchical mixed-size circuit designs. In Proceedings of the 50th Annual Design Automation Conference. ACM, USA, 151. Google ScholarDigital Library
- Jin Hu, Myung-Chul Kim, and Igor L. Markov. 2013. Taming the complexity of coordinated place and route. In Design Automation Conference (DAC). IEEE, 1--7. Google ScholarDigital Library
- Jin Hu, Jarrod A. Roy, and Igor L. Markov. 2010. Completing high-quality global routes. In ISPD. ACM, USA, 35--41. Google ScholarDigital Library
- Zhe-Wei Jiang, Bor-Yiing Su, and Yao-Wen Chang. 2008. Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. In DAC. 167--172. Google ScholarDigital Library
- Tanuj Jindal, Charles J. Alpert, Jiang Hu, Zhuo Li, Gi Joon Nam, and C. Byron Winn. 2010. Detecting tangled logic structures in VLSI netlists. In Proceedings of the 47th ACM/IEEE Design Automation Conference (DAC 2010). IEEE, 603--608. Google ScholarDigital Library
- A. B. Kahng and Q. Wang. 2005. Implementation and extensibility of an analytic placer. TCAD 24, 5 (2005), 734--747. Google ScholarDigital Library
- A. B. Kahng and X. Xu. 2003. Accurate pseudo-constructive wirelength and congestion estimation. In SLIP. ACM, 61--68. Google ScholarDigital Library
- M. C. Kim, J. Hu, D. J. Lee, and I. L. Markov. 2011. A SimPLR method for routability-driven placement. In ICCAD. IEEE Press, 67--73. Google ScholarDigital Library
- M. C. Kim, D. J. Lee, and I. L. Markov. 2012a. SimPL: An effective placement algorithm. TCAD 31, 1 (2012), 50--60. Google ScholarDigital Library
- Myung-Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, and Shyam Ramji. 2012b. MAPLE: Multilevel adaptive placement for mixed-size designs. In Proceedings of the 2012 ACM International Symposium on International Symposium on Physical Design. ACM, 193--200. Google ScholarDigital Library
- C. Li, M. Xie, C. K. Koh, J. Cong, and P. H. Madden. 2007. Routability-driven placement and white space allocation. TCAD 26, 5 (2007), 858--871. Google ScholarDigital Library
- Tao Lin and Chris Chu. 2014. POLAR 2.0: An effective routability-driven placer. In Proceedings of the the 51st Annual Design Automation Conference. ACM, 1--6. Google ScholarDigital Library
- Tao Lin, Chris Chu, Joseph R. Shinnerl, Ismail Bustany, and Ivailo Nedelchev. 2013. POLAR: Placement based on novel rough legalization and refinement. In Proceedings of the 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 357--362. Google ScholarDigital Library
- W. H. Liu, W. C. Kao, Y. L. Li, and K. Y. Chao. 2010. Multi-threaded collision-aware global routing with bounded-length maze routing. In DAC. ACM, 200--205. Google ScholarDigital Library
- Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li. 2013a. Case study for placement solutions in ispd11 and dac12 routability-driven placement contests. In Proceedings of the 2013 ACM International Symposium on Physical Design. ACM, 114--119. Google ScholarDigital Library
- Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li. 2013b. Optimization of placement solutions for routability. In Design Automation Conference (DAC). IEEE, 1--9. Google ScholarDigital Library
- Jingwei Lu, Pengwen Chen, Chin-Chih Chang, Lu Sha, Dennis J. Huang, Chin-Chi Teng, Chung-Kuan Cheng, and others. 2014. ePlace: Electrostatics based placement using nesterov’s method. In Proceedings of the 51st Annual Design Automation Conference. ACM, 1--6. Google ScholarDigital Library
- Jingwei Lu, Hao Zhuang, Pengwen Chen, and Hongliang Chang. 2015. ePlace-MS: Electrostatics based placement for mixed-size circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 5 (2015), 1--13. Google ScholarDigital Library
- Gi Joon Nam. 2006. ISPD 2006 placement contest: Benchmark suite and results. ISPD (2006), 167--167. Google ScholarDigital Library
- M. Pan and C. Chu. 2006. FastRoute: A step to integrate global routing into placement. In ICCAD. IEEE, USA, 464--471. Google ScholarDigital Library
- M. Pan and C. Chu. 2007. IPR: An integrated placement and routing algorithm. In DAC. 59--62. Google ScholarDigital Library
- M. Pan, N. Viswanathan, and C. Chu. 2005. An efficient and effective detailed placement algorithm. In ICCAD. IEEE Computer Society, 48--55. Google ScholarDigital Library
- Jarrod Roy, Natarajan Viswanathan, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov, and others. 2009. CRISP: Congestion reduction by iterated spreading during placement. In ICCAD. ACM, 357--362. Google ScholarDigital Library
- C. Sham and E. F. Y. Young. 2005. Congestion prediction in early stages. In SLIP. ACM, USA, 91--98. Google ScholarDigital Library
- P. Spindler and F. M. Johannes. 2007. Fast and accurate routing demand estimation for efficient routability-driven placement. In DATE. IEEE, 1--6. Google ScholarDigital Library
- Peter Spindler, Ulf Schlichtmann, and Frank M. Johannes. 2008. Kraftwerk2: A fast force-directed quadratic placement approach using an accurate net model. TCAD, 27, 8 (2008), 1398--1411. Google ScholarDigital Library
- Markus Struzyna. 2013. Sub-quadratic objectives in quadratic placement. In Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1867--1872. Google ScholarDigital Library
- Translator. 2012. (2012). http://people.cs.nctu.edu.tw/∼whliu/NCTU-GR.htm.Google Scholar
- K. Tsota, C. K. Koh, and V. Balakrishnan. 2008. Guiding global placement with wire density. In ICCAD. IEEE, 212--217. Google ScholarDigital Library
- N. Viswanathan, C. Alpert, C. Sze, Z. Li, and Y. Wei. 2012a. The DAC 2012 routability-driven placement contest and benchmark suite. In DAC. ACM, 774--782. Google ScholarDigital Library
- Natarajan Viswanathan, Charles Alpert, Cliff Sze, Zhuo Li, and Yaoguang Wei. 2012b. ICCAD-2012 CAD contest in design hierarchy aware routability-driven placement and benchmark suite. In ICCAD. IEEE, 345--348. Google ScholarDigital Library
- Natarajan Viswanathan, Charles J. Alpert, Cliff Sze, Zhuo Li, Gi-Joon Nam, and Jarrod A. Roy. 2011. The ISPD-2011 routability-driven placement contest and benchmark suite. In ISPD. ACM, 141--146. Google ScholarDigital Library
- Yaoguang Wei, Cliff Sze, Natarajan Viswanathan, Zhuo Li, Charles J. Alpert, Lakshmi Reddy, Andrew D. Huber, Gustavo E. Tellez, Douglas Keller, and Sachin S. Sapatnekar. 2012. GLARE: Global and local wiring aware routability evaluation. In DAC. ACM, 768--773. Google ScholarDigital Library
- J. Westra, C. Bartels, and P. Groeneveld. 2004. Probabilistic congestion prediction. In ISPD. ACM, 204--209. Google ScholarDigital Library
- X. Yang, B. K. Choi, and M. Sarrafzadeh. 2003. Routability-driven white space allocation for fixed-die standard-cell placement. TCAD 22, 4 (2003), 410--419. Google ScholarDigital Library
- Vladimir Yutsis, Ismail S. Bustany, David Chinnery, Joseph R. Shinnerl, and Wen-Hao Liu. 2014. ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement. In Proceedings of the 2014 International Symposium on Physical Design. ACM, 161--168. Google ScholarDigital Library
- Y. Zhang and C. Chu. 2009. CROP: Fast and effective congestion refinement of placement. In ICCAD. IEEE, 344--350. Google ScholarDigital Library
Index Terms
- Ripple 2.0: Improved Movement of Cells in Routability-Driven Placement
Recommendations
BoxRouter 2.0: A hybrid and robust global router with layer assignment for routability
In this article, we present BoxRouter 2.0, and discuss its architecture and implementation. As high-performance VLSI design becomes more interconnect-dominant, efficient congestion elimination in global routing is in greater demand. Hence, we propose a ...
Routability-Driven Blockage-Aware Macro Placement
DAC '14: Proceedings of the 51st Annual Design Automation ConferenceWe present a new floorplan representation, called circular-packing trees (CP-trees), for the problem of macro placement. Our CP-trees can flexibly pack movable macros toward corners or pre-placed macros along chip boundaries circularly to optimize macro ...
Routability-driven placement for hierarchical mixed-size circuit designs
DAC '13: Proceedings of the 50th Annual Design Automation ConferenceA wirelength-driven placer without considering routability could introduce irresolvable routing-congested placements. Therefore, it is desirable to develop an effective routability-driven placer for modern mixed-size designs employing hierarchical ...
Comments