skip to main content
research-article

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs

Published:23 June 2014Publication History
Skip Abstract Section

Abstract

In this article we propose an effective algorithm flow to handle modern large-scale mixed-size placement, both with and without geometry constraints. The basic idea is to use floorplanning to guide the placement of objects at the global level. The flow consists of four steps: (1) The objects in the original netlist are clustered into blocks; (2) floorplanning is performed on the blocks; (3) the blocks are shifted within the chip region to further optimize the wirelength; (4) with large macro-locations fixed, incremental placement is applied to place the remaining objects. There are several advantages to handling placement at the global level with a floorplanning technique. First, the problem size can be significantly reduced. Second, exact Half-Perimeter WireLength (HPWL) can be minimized. Third, better object distribution can be achieved so that legalization only needs to handle minor overlaps among small objects in a block. Fourth, macro-rotation and various geometry constraints can be handled. To demonstrate the effectiveness of this new flow, we implement a high-quality and efficient floorplan-guided placer called FLOP. We also construct the Modern Mixed-Size (MMS) placement benchmarks that can effectively represent the complexities of modern mixed-size designs and the challenges faced by modern mixed-size placers. Compared with most state-of-the-art mixed-size placers and leading macroplacers, experimental results show that FLOP achieves the best HPWL and easily obtains legal solutions on all circuits with all geometry constraints satisfied.

References

  1. S. N. Adya, S. Chaturvedi, J. A. Roy, D. A. Papa, and I. L. Markov. 2004. Unification of partitioning, placement and floorplanning. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'04). 550--557. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. S. N. Adya and I Markov. 2005. Combinatorial techniques for mixed-size placement. ACM Trans. Des. Autom. Electron. Syst. 10, 1, 58--90. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. T. Chan, J. Cong, J. Shinnerl, K. Sze, and M. Xie. 2006. mPL6: Enhanced multilevel mixed-sized placement. In Proceedings of the International Symposium on Physical Design (ISPD'06). 212--214. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H.-C. Chen, Y.-L. Chuang, Y.-W. Chang, and Y.-C. Chang. 2008. Constraint graph-based macro placement for modern mixed-size circuit designs. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'08). 218--223. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. T.-C. Chen, Y.-W. Chang, and S.-C. Lin. 2005. IMF: Interconnect-driven multilevel floorplanning for large-scale building-module designs. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'05). 159--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang. 2006. A high-quality mixed-size analytical placer considering preplaced blocks and density constraints. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'06). 187--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. T.-C. Chen, P.-H. Yuh, Y.-W. Chang, F.-J. Huang, and D. Liu. 2007. MP-tree: A packing-based macro placement algorithm for mixed-size designs. In Proceedings of the 44th Annual Design Automation Conference (DAC'07). 447--452. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Cong and M. Xie. 2006. A robust detailed placement for mixed-size IC designs. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'06). 188--194. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Egeblad. 2003. Placement techniques for VLSI layout using sequence-pair legalization. Ph.D. dissertation. http://www.diku.dk/∼jegeblad/thesis.pdf.Google ScholarGoogle Scholar
  10. P.-N. Guo, C.-K. Cheng, and T. Yoshimura. 1999. An o-tree representation of non-slicing floorplan and its applications. In Proceedings of the 36th Design Automation Conference (DAC'99). 268--273. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. M.-K. Hsu and Y.-W. Chang. 2010. Unified analytical global placement for large-scale mixed-size circuit designs. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'10). 657--662. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. B. Kahng and Q. Wang. 2005. Implementation and extensibility of an analytical placer. IEEE Trans. Comput.-Aid. Des. 24, 5, 734--747. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. B. Kahng and Q. Wang. 2006. A faster implementation of aplace. In Proceedings of the International Symposium on Physical Design (ISPD'06). 218--220. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. G. Karypis and V. Kumar. 1999. hMetis2.0. http://glaros.dtc.umn.edu/gkhome/.Google ScholarGoogle Scholar
  15. M.-C. Kim and I. Markov. 2012. ComPLx: A competitive primal-dual lagrange optimization for global placement. In Proceedings of the 49th Annual Design Automation Conference (DAC'12). 747--752. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. C. Kodama, K. Fujiyoshi, and T. Koga. 2004. A novel encoding method into sequence-pair. In Proceedings of the International Symposium on Circuits and Systems (ISCAS'04). 329--332. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Q. Ma, L. Xiao, Y.-C. Tam, and E. F. Y. Young. 2011. Simultaneous handling of symmetry, common centroid, and general placement constraints. IEEE Trans. Comput.-Aid. Des. 30, 1, 85--95. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajitani. 1996. VLSI module placement based on rectangle-packing by the sequence-pair. IEEE Trans. Comput.-Aid. Des. 15, 12, 1518--1524. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. G.-J. Nam. 2006. ISPD 2006 placement contest: Benchmark suite and results. In Proceedings of the International Symposium on Physical Design (ISPD'06). 167--167. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. G.-J. Nam, C. J. Alpert, P. Villarrubia, B. Winter, and M. Yildiz. 2005. The ISPD 2005 placement contest and benchmarks suite. In Proceedings of the International Symposium on Physical Design (ISPD'05). 216--220. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. G.-J. Nam, S. Reda, C. J. Alpert, P. G. Villarrubia, and A. B. Kahng. 2006. A fast hierarchical quadratic placement algorithm. IEEE Trans. Comput.-Aid. Des. 25, 4, 678--691. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. M. Pan, N. Viswanathan, and C. Chu. 2005. An efficient and effective detailed placement algorithm. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'05). 48--55. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. J. A. Roy, S. N. Adya, D. A. Papa, and I. L. Markov. 2006. Min-cut floorplacement. IEEE Trans. Comput.-Aid. Des. 25, 7, 1313--1326. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. J. A. Roy, A. N. Ng, R. Aggarwal, V. Ramachandran, and I. L. Markov. 2009. Solving modern mixed-size placement instances. Integr. 42, 2, 262--275. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. K. Sakanushi, Y. Kajitani, and D. P. Mehta. 2003. The quarter-state-sequence floorplan representation. IEEE Trans. Circ. Syst. I Fundam. Theory Appl. 50, 3, 376--386.Google ScholarGoogle ScholarCross RefCross Ref
  26. P. Spindler and F. M. Johannes. 2006. Fast and robust quadratic placement combined with an exact linear net model. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'06). 179--186. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. T. Taghavi, X. Yang, B.-K. Choi, M. Yang, and M. Sarrafzadeh. 2006. Dragon2006: Blockage-aware congestion-controlling mixed-size placer. In Proceedings of the International Symposium on Physical Design (ISPD'06). 209--211. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Y.-C. Tam, E. F. Y. Young, and C. C. N. Chu. 2006. Analog placement with symmetry and other placement constraints. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'06). 349--354. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. X. Tang, R. Tian, and M. D. F. Wong. 2006. Minimizing wire length in floorplanning. IEEE Trans. Comput.-Aid. Des. 25, 9, 1744--1753. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. N. Viswanathan, M. Pan, and C. Chu. 2007. FastPlace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'07). 135--140. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. J. Z. Yan and C. Chu. 2010. DeFer: Deferred decision making enabled fixed-outline floorplanning algorithm. IEEE Trans. Comput.-Aid. Des. 43, 3, 367--381. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. J. Z. Yan and C. Chu. 2012. Optimal slack-driven block shaping algorithm in fixed-outline floorplanning. In Proceedings of the ACM International Symposium on Physical Design (ISPD'12). 179--186. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. J. Z. Yan, C. Chu, and W. K. Mak. 2011. SafeChoice: A novel approach to hypergraph clustering for wirelength-driven placement. IEEE Trans. Comput.-Aid. Des. 30, 7, 1020--1033. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. J. Z. Yan, N. Viswanathan, and C. Chu. 2009a. Handling complexities in modern large-scale mixed-size placement. In Proceedings of the 46th Annual Design Automation Conference (DAC'09). 436--441. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. J. Z. Yan, N. Viswanathan, and C. Chu. 2009b. MMS placement benchmarks. http://www.public.iastate. edu/zijunyan/.Google ScholarGoogle Scholar
  36. E. F. Y. Young, C. C. N. Chu, and M. L. Ho. 2004. Placement constraints in floorplan design. IEEE Trans. VLSI Syst. 12, 7, 735--745. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 19, Issue 3
      June 2014
      257 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/2634048
      Issue’s Table of Contents

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 23 June 2014
      • Revised: 1 November 2013
      • Received: 1 August 2013
      • Accepted: 1 February 2013
      Published in todaes Volume 19, Issue 3

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader