skip to main content
10.5555/563998.564026acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article

Select-free instruction scheduling logic

Published:01 December 2001Publication History

ABSTRACT

Pipelining allows processors to exploit parallelism. Unfortunately, critical loops---pieces of logic that must evaluate in a single cycle to meet IPC (Instructions Per Cycle) goals---prevent deeper pipelining. In today's processors, one of these loops is the instruction scheduling (wakeup and select) logic [10]. This paper describes a technique that pipelines this loop by breaking it into two smaller loops: a critical, single-cycle loop for wakeup; and a non-critical, potentially multi-cycle, loop for select. For the 12 SPECint*2000 benchmarks, a machine with two-cycle select logic (i. e., three-cycle scheduling logic) using this technique has an average IPC 15% greater than a machine with three-cycle pipelined conventional scheduling logic, and an IPC within 3% of a machine of the same pipeline depth and one-cycle (ideal) scheduling logic. Since select accounts for more than half the scheduling latency [10], this technique could significantly increase clock frequency while having minimal impact on IPC.

References

  1. R. Canal and A. González. A low-complexity issue logic. In Proceedings of the 2000 International Conference on Supercomputing, pages 327-335, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Chandrakasan, W. J. Bowhill, and F. Fox, editors. Design of High-Performance Microprocessor Circuits. IEEE Press, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. A. Farrell and T. C. Fischer. Issue logic for a 600-MHz Out-of-Order execution microprocessor. IEEE Journal of Solid-State Circuits, 33(5), 1998.Google ScholarGoogle Scholar
  4. D. S. Henry, B. C. Kuszmaul, G. H. Loh, and R. Sami. Circuits for wide-window superscalar processors. In Proceedings of the 27th Annual International Symposium on Computer Architecture, pages 236-247, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. G. Hinton, D. Sager, M. Upton, D. Boggs, D. Carmean, A. Kyker, and P. Roussel. The microarchitecture of the Pentium 4 processor. Intel Technical Journal, Feb. 2001. Q1 2001 Issue.Google ScholarGoogle Scholar
  6. Intel Corporation. IA-32 Intel Architecture Software Developer's Manual Volume 1: Basic Architecture, 2001.Google ScholarGoogle Scholar
  7. P. Michaud and A. Seznec. Data-flow prescheduling for large instruction windows in out-of-order processors. In Proceedings of the Seventh IEEE International Symposium on High Performance Computer Architecture, pages 27-36, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. E. Morancho, J. M. Llabería, and À. Olivé. Recovery mechanism for latency misprediction. In Proceedings of the 2001 ACM/IEEE International Conference on Parallel Architectures and Compilation Techniques, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Önder and R. Gupta. Superscalar execution with dynamic data forwarding. In Proceedings of the 1998 ACM/IEEE Conference on Parallel Architectures and Compilation Techniques, pages 130-135, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Palacharla, N. P. Jouppi, and J. E. Smith. Complexity-effective superscalar processors. In Proceedings of the 24th Annual International Symposium on Computer Architecture, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Stark, M. D. Brown, and Y. N. Patt. On pipelining dynamic instruction scheduling logic. In Proceedings of the 33th Annual ACM/IEEE International Symposium on Microarchitecture, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Weiss and J. E. Smith. Instruction issue logic in pipelined supercomputers. IEEE Transactions on Computers, C-33(11):1013-1022, Nov. 1984.Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. K. C. Yeager. The MIPS R10000 superscalar microprocessor. IEEE Micro, 16(2):28-41, Apr. 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. Select-free instruction scheduling logic

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      MICRO 34: Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture
      December 2001
      355 pages
      ISBN:0769513697

      Copyright © Copyright (c) 2001 Institute of Electrical and Electronics Engineers, Inc. All rights reserved.

      Publisher

      IEEE Computer Society

      United States

      Publication History

      • Published: 1 December 2001

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate484of2,242submissions,22%

      Upcoming Conference

      MICRO '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader