skip to main content
Skip header Section
Design of High-Performance Microprocessor CircuitsSeptember 2000
Publisher:
  • Wiley-IEEE Press
ISBN:978-0-7803-6001-3
Published:01 September 2000
Pages:
578
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

From the Publisher: This book covers the design of next generation microprocessors in deep submicron CMOS technologies. The chapters in Design of High Performance Microprocessor Circuits were written by some of the world s leading technologists, designers, and researchers. All levels of system abstraction are covered, but the emphasis rests squarely on circuit design. Examples are drawn from processors designed at AMD, Digital/Compaq, IBM, Intel, MIPS, Mitsubishi, and Motorola. Each topic of this invaluable reference stands alone so the chapters can be read in any order. The following topics are covered in depth: Architectural constraints of CMOS VLSI design Technology scaling, low-power devices, SOI, and process variations Contemporary design styles including a survey of logic families, robust dynamic circuits, asynchronous logic, self-timed pipelines, and fast arithmetic units Latches, clocks and clock distribution, phase-locked and delay-locked loops Register file, cache memory, and embedded DRAM design High-speed signaling techniques and I/O design ESD, electromigration, and hot-carrier reliability CAD tools, including timing verification and the analysis of power distribution schemes Test and testability Design of High-Performance Microprocessor Circuits assumes a basic knowledge of digital circuit design and device operation, and covers a broad range of circuit styles and VLSI design techniques. Packed with practical know-how, it is an indispensable reference for practicing circuit designers, architects, system designers, CAD tool developers, process technologists, and researchers. It is also an essential text for VLSI design courses.

Cited By

  1. Guduri M, Dwivedi A, Majumder S, Riya and Islam A (2019). An efficient circuit-level power reduction technique for ultralow power applications, Microsystem Technologies, 25:5, (1689-1697), Online publication date: 1-May-2019.
  2. Shah A, Neema V, Daulatabad S and Singh P (2019). Dual threshold voltage and sleep switch dual threshold voltage DOIND approach for leakage reduction in domino logic circuits, Microsystem Technologies, 25:5, (1639-1652), Online publication date: 1-May-2019.
  3. Bhat G, Singla G, Unver A and Ogras U (2018). Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26:3, (544-557), Online publication date: 1-Mar-2018.
  4. Cho G and Lombardi F (2016). Design and process variation analysis of CNTFET-based ternary memory cells, Integration, the VLSI Journal, 54:C, (97-108), Online publication date: 1-Jun-2016.
  5. Mirzaei M, Mosaffa M and Mohammadi S (2015). Variation-aware approaches with power improvement in digital circuits, Integration, the VLSI Journal, 48:C, (83-100), Online publication date: 1-Jan-2015.
  6. ACM
    Lin I, Syu S and Ho T (2014). NBTI tolerance and leakage reduction using gate sizing, ACM Journal on Emerging Technologies in Computing Systems, 11:1, (1-12), Online publication date: 6-Oct-2014.
  7. ACM
    Cho G and Lombardi F A novel and improved design of a ternary CNTFET-based cell Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI, (131-136)
  8. ACM
    Deshpande A and Draper J Leakage energy estimates for HPC applications Proceedings of the 1st International Workshop on Energy Efficient Supercomputing, (1-8)
  9. Lee W, Vij V, Thatcher A and Stevens K Design of low energy, high performance synchronous and asynchronous 64-point FFT Proceedings of the Conference on Design, Automation and Test in Europe, (242-247)
  10. ACM
    Morris D, Bromberg D, Zhu J and Pileggi L mLogic Proceedings of the 49th Annual Design Automation Conference, (486-491)
  11. Crupi F, Alioto M, Franco J, Magnone P, Kaczer B, Groeseneken G, Mitard J, Witters L and Hoffmann T (2012). Buried silicon-Germanium pMOSFETs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20:8, (1487-1495), Online publication date: 1-Aug-2012.
  12. ACM
    Wang P, Yang C, Chen Y and Cheng Y (2011). Power gating strategies on GPUs, ACM Transactions on Architecture and Code Optimization, 8:3, (1-25), Online publication date: 1-Oct-2011.
  13. ACM
    Dong C and Li X Efficient SRAM failure rate prediction via Gibbs sampling Proceedings of the 48th Design Automation Conference, (200-205)
  14. ACM
    Chellappa S, Ni J, Yao X, Hindman N, Velamala J, Chen M, Cao Y and Clark L In-situ characterization and extraction of SRAM variability Proceedings of the 47th Design Automation Conference, (711-716)
  15. ACM
    Seok M, Blaauw D and Sylvester D Clock network design for ultra-low power applications Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, (271-276)
  16. Abu-Rahma M, Anis M and Yoon S (2010). Reducing SRAM power using fine-grained wordline pulsewidth control, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:3, (356-364), Online publication date: 1-Mar-2010.
  17. Alioto M, Palumbo G and Pennisi M (2010). Understanding the effect of process variations on the delay of static and domino logic, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:5, (697-710), Online publication date: 1-May-2010.
  18. Agostinelli M, Alioto M, Esseni D and Selmi L (2010). Leakage-delay tradeoff in FinFET logic circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:2, (232-245), Online publication date: 1-Feb-2010.
  19. Zhang L and Dick R Scheduled voltage scaling for increasing lifetime in the presence of NBTI Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (492-497)
  20. Meterelliyoz M and Roy K Design for burn-in test Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (787-792)
  21. Rasouli S, Koike H and Banerjee K High-speed low-power FinFET based domino logic Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (829-834)
  22. Ragheb T, Ricketts A, Mondal M, Kirolos S, Links G, Narayanan V and Massoud Y (2009). Design of thermally robust clock trees using dynamically adaptive clock buffers, IEEE Transactions on Circuits and Systems Part I: Regular Papers, 56:2, (374-383), Online publication date: 1-Feb-2009.
  23. Zhao P, McNeely J, Golconda P, Venigalla S, Wang N, Bayoumi M, Kuang W and Downey L (2009). Low-power clocked-pseudo-NMOS flip-flop for level conversion in dual supply systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:9, (1196-1202), Online publication date: 1-Sep-2009.
  24. ACM
    Fei Y, Zhong L and Jha N (2008). An energy-aware framework for dynamic software management in mobile computing systems, ACM Transactions on Embedded Computing Systems, 7:3, (1-31), Online publication date: 1-Apr-2008.
  25. ACM
    Joshi V, Cline B, Sylvester D, Blaauw D and Agarwal K Stress aware layout optimization Proceedings of the 2008 international symposium on Physical design, (168-174)
  26. ACM
    Venkatraman A, Garg R and Khatri S A robust, fast pulsed flip-flop design Proceedings of the 18th ACM Great Lakes symposium on VLSI, (119-122)
  27. ACM
    Joshi V, Cline B, Sylvester D, Blaauw D and Agarwal K Leakage power reduction using stress-enhanced layouts Proceedings of the 45th annual Design Automation Conference, (912-917)
  28. Chang C and Chen H (2008). Design migration from peripheral ASIC design to area-I/O flip-chip design by chip I/O planning and legalization, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:1, (108-112), Online publication date: 1-Jan-2008.
  29. Ryu K, Park S and Jung S A dual-edge triggered phase detector for fast-lock DLL Proceedings of the 12th WSEAS international conference on Circuits, (197-201)
  30. Kadayif I, Zorlubas A, Koyuncu S, Kabal O, Akcicek D, Sahin Y and Kandemir M (2008). Capturing and optimizing the interactions between prefetching and cache line turnoff, Microprocessors & Microsystems, 32:7, (394-404), Online publication date: 1-Oct-2008.
  31. ACM
    Mukhopadhyay S, Kim K and Chuang C Design and analysis of Thin-BOX FD/SOI devices for low-power and stable SRAM in sub-50nm technologies Proceedings of the 2007 international symposium on Low power electronics and design, (20-25)
  32. ACM
    Kim J, Nicopoulos C, Park D, Das R, Xie Y, Narayanan V, Yousif M and Das C (2007). A novel dimensionally-decomposed router for on-chip communication in 3D architectures, ACM SIGARCH Computer Architecture News, 35:2, (138-149), Online publication date: 9-Jun-2007.
  33. ACM
    Kim J, Nicopoulos C, Park D, Das R, Xie Y, Narayanan V, Yousif M and Das C A novel dimensionally-decomposed router for on-chip communication in 3D architectures Proceedings of the 34th annual international symposium on Computer architecture, (138-149)
  34. ACM
    Hagiwara S, Uezono T, Sato T and Masu K Improvement of power distribution network using correlation-based regression analysis Proceedings of the 17th ACM Great Lakes symposium on VLSI, (513-516)
  35. Barajas E, Cosculluela R, Coutinho D, Mateo D, González J, Cairò I, Banda S and Ikeda M Interactive presentation: Behavioral modeling of delay-locked loops and its application to jitter optimization in ultra wide-band impulse radio systems Proceedings of the conference on Design, automation and test in Europe, (1430-1435)
  36. Liu Y, Dick R, Shang L and Yang H Accurate temperature-dependent integrated circuit leakage power estimation is easy Proceedings of the conference on Design, automation and test in Europe, (1526-1531)
  37. Zhou P, Ma Y, Li Z, Dick R, Shang L, Zhou H, Hong X and Zhou Q 3D-STAF Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (590-597)
  38. ACM
    Xiao S and Lai E (2007). VLIW instruction scheduling for minimal power variation, ACM Transactions on Architecture and Code Optimization, 4:3, (18-es), Online publication date: 1-Sep-2007.
  39. Shyam K and Govindarajan R Compiler-directed dynamic voltage scaling using program phases Proceedings of the 14th international conference on High performance computing, (233-244)
  40. Centurelli F, Giancane L, Olivieri M, Scotti G and Trifiletti A A statistical model of logic gates for Monte Carlo simulation including on-chip variations Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation, (516-525)
  41. ACM
    Karl E, Blaauw D, Sylvester D and Mudge T Reliability modeling and management in dynamic microprocessor-based systems Proceedings of the 43rd annual Design Automation Conference, (1057-1060)
  42. ACM
    Kim J and Orshansky M Towards formal probabilistic power-performance design space exploration Proceedings of the 16th ACM Great Lakes symposium on VLSI, (229-234)
  43. Amelifard B, Pedram M and Fallah F Low-leakage SRAM Design with Dual V_t Transistors Proceedings of the 7th International Symposium on Quality Electronic Design, (729-734)
  44. Chen Q, Meterelliyoz M and Roy K A CMOS Thermal Sensor and Its Applications in Temperature Adaptive Design Proceedings of the 7th International Symposium on Quality Electronic Design, (243-248)
  45. Mohanty S, Velagapudi R and Kougianos E Dual-K Versus Dual-T Technique for Gate Leakage Reduction Proceedings of the 7th International Symposium on Quality Electronic Design, (564-569)
  46. Li L and Xue J Trace-Based data cache leakage reduction at link time Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture, (175-188)
  47. Mohanty S, Velagapudi R and Kougianos E Physical-aware simulated annealing optimization of gate leakage in nanoscale datapath circuits Proceedings of the conference on Design, automation and test in Europe: Proceedings, (1191-1196)
  48. Chen J, Yi H, Yang X and Qian L Compile-Time energy optimization for parallel applications in on-chip multiprocessors Proceedings of the 6th international conference on Computational Science - Volume Part II, (904-911)
  49. Jeong J, Kim G, Son J, Rim W and Kim S Body bias generator for leakage power reduction of low-voltage digital logic circuits Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (350-359)
  50. Günay C and Maida A (2006). Using temporal binding for hierarchical recruitment of conjunctive concepts over delayed lines, Neurocomputing, 69:4-6, (317-367), Online publication date: 1-Jan-2006.
  51. Banerjee N, Roy K, Mahmoodi H and Bhunia S Low power synthesis of dynamic logic circuits using fine-grained clock gating Proceedings of the conference on Design, automation and test in Europe: Proceedings, (862-867)
  52. ACM
    Lu X and Fu Y Reducing leakage power in instruction cache using WDC for embedded processors Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (1292-1295)
  53. ACM
    Srivastava A, Shah S, Agarwal K, Sylvester D, Blaauw D and Director S Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance Proceedings of the 42nd annual Design Automation Conference, (535-540)
  54. ACM
    Bhunia S, Banerjee N, Chen Q, Mahmoodi H and Roy K A novel synthesis approach for active leakage power reduction using dynamic supply gating Proceedings of the 42nd annual Design Automation Conference, (479-484)
  55. ACM
    Chang A and Dally W Explaining the gap between ASIC and custom power Proceedings of the 42nd annual Design Automation Conference, (281-284)
  56. ACM
    Moradi F, Mahmoodi H and Peiravi A A high speed and leakage-tolerant domino logic for high fan-in gates Proceedings of the 15th ACM Great Lakes symposium on VLSI, (478-481)
  57. ACM
    Ozturk O and Kandemir M Energy management in software-controlled multi-level memory hierarchies Proceedings of the 15th ACM Great Lakes symposium on VLSI, (270-275)
  58. ACM
    Elgharbawy W, Golconda P and Bayoumi M Noise-tolerant high fan-in dynamic CMOS circuit design Proceedings of the 15th ACM Great Lakes symposium on VLSI, (134-137)
  59. Kadayif I, Kandemir M, Chen G, Ozturk O, Karakoy M and Sezer U (2005). Optimizing Array-Intensive Applications for On-Chip Multiprocessors, IEEE Transactions on Parallel and Distributed Systems, 16:5, (396-411), Online publication date: 1-May-2005.
  60. Tseng J and Asanovic K (2005). A Speculative Control Scheme for an Energy-Efficient Banked Register File, IEEE Transactions on Computers, 54:6, (741-751), Online publication date: 1-Jun-2005.
  61. Ajami A, Banerjee K and Pedram M (2005). Scaling Analysis of On-Chip Power Grid Voltage Variations in Nanometer Scale ULSI, Analog Integrated Circuits and Signal Processing, 42:3, (277-290), Online publication date: 1-Mar-2005.
  62. Pant S and Blaauw D Static timing analysis considering power supply variations Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (365-371)
  63. Chang M CDMA/FDMA-interconnects for future ULSI communications Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (975-978)
  64. Foglia P, Mangano D and Prete C (2005). A cache design for high performance embedded systems, Journal of Embedded Computing, 1:4, (587-597), Online publication date: 1-Dec-2005.
  65. Rao R, Agarwal K, Devgan A, Nowka K, Sylvester D and Brown R Parametric Yield Analysis and Constrained-Based Supply Voltage Optimization Proceedings of the 6th International Symposium on Quality of Electronic Design, (284-290)
  66. Mukherjee V, Mohanty S and Kougianos E A Dual Dielectric Approach for Performance Aware Gate Tunneling Reduction in Combinational Circuits Proceedings of the 2005 International Conference on Computer Design, (431-437)
  67. Li P, Deng Y and Pileggi L Temperature-Dependent Optimization of Cache Leakage Power Dissipation Proceedings of the 2005 International Conference on Computer Design, (7-12)
  68. Kandemir M, Irwin M, Chen G and Kolcu I (2005). Compiler-guided leakage optimization for banked scratch-pad memories, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13:10, (1136-1146), Online publication date: 1-Oct-2005.
  69. Sultania A, Sylvester D and Sapatnekar S (2005). Gate oxide leakage and delay tradeoffs for dual-toxcircuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13:12, (1362-1375), Online publication date: 1-Dec-2005.
  70. Wellig A and Zory J Static noise margin analysis of sub-threshold SRAM cells in deep sub-micron technology Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (488-497)
  71. Alioto M, Palumbo G and Poli M Energy consumption in RC tree circuits with exponential inputs Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (355-363)
  72. Venkatraman V and Burleson W Robust Multi-Level Current-Mode On-Chip Interconnect Signaling in the Presence of Process Variations Proceedings of the 6th International Symposium on Quality of Electronic Design, (522-527)
  73. Hashimoto M, Yamamoto T and Onodera H Statistical Analysis of Clock Skew Variation in H-Tree Structure Proceedings of the 6th International Symposium on Quality of Electronic Design, (402-407)
  74. Ling W and Savaria Y Analysis of Wave-Pipelined Domino Logic Circuit and Clocking Styles Subject to Parametric Variations Proceedings of the 6th International Symposium on Quality of Electronic Design, (688-693)
  75. ACM
    Kadayif I, Kandemir M, Chen G, Vijaykrishnan N, Irwin M and Sivasubramaniam A (2005). Compiler-directed high-level energy estimation and optimization, ACM Transactions on Embedded Computing Systems, 4:4, (819-850), Online publication date: 1-Nov-2005.
  76. ACM
    Hazelwood K and Brooks D Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization Proceedings of the 2004 international symposium on Low power electronics and design, (326-331)
  77. ACM
    Chatterjee B, Sachdev M and Krishnamurthy R A CPL-based dual supply 32-bit ALU for sub 180nm CMOS technologies Proceedings of the 2004 international symposium on Low power electronics and design, (248-251)
  78. ACM
    Heo S and AsanoviC K Power-optimal pipelining in deep submicron technology Proceedings of the 2004 international symposium on Low power electronics and design, (218-223)
  79. De La Luz V and Kandemir M (2004). Array Regrouping and Its Use in Compiling Data-Intensive Embedded Applications, IEEE Transactions on Computers, 53:1, (1-19), Online publication date: 1-Jan-2004.
  80. Kandemir M, Irwin M, Chen G and Kolcu I Banked scratch-pad memory management for reducing leakage energy consumption Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (120-124)
  81. Mukhopadhyay S, Mahmoodi H and Roy K Statistical design and optimization of SRAM cell for yield enhancement Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (10-13)
  82. El-Moursy M and Friedman E (2004). Resistive Power in CMOS Circuits, Analog Integrated Circuits and Signal Processing, 41:1, (5-11), Online publication date: 1-Oct-2004.
  83. Kim S, Vijaykrishnan N, Kandemir M and Irwin M (2004). Optimizing Leakage Energy Consumption in Cache Bitlines, Design Automation for Embedded Systems, 9:1, (5-18), Online publication date: 1-Mar-2004.
  84. ACM
    Gayasen A, Tsai Y, Vijaykrishnan N, Kandemir M, Irwin M and Tuan T Reducing leakage energy in FPGAs using region-constrained placement Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, (51-58)
  85. ACM
    He L, Liao W and Stan M System level leakage reduction considering the interdependence of temperature and leakage Proceedings of the 41st annual Design Automation Conference, (12-17)
  86. ACM
    Pant S, Blaauw D, Zolotov V, Sundareswaran S and Panda R A stochastic approach To power grid analysis Proceedings of the 41st annual Design Automation Conference, (171-176)
  87. ACM
    Raj S, Vrudhula S and Wang J A methodology to improve timing yield in the presence of process variations Proceedings of the 41st annual Design Automation Conference, (448-453)
  88. ACM
    Sultania A, Sylvester D and Sapatnekar S Tradeoffs between date oxide leakage and delay for dual Tox circuits Proceedings of the 41st annual Design Automation Conference, (761-766)
  89. ACM
    Li L and Xue J A trace-based binary compilation framework for energy-aware computing Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, (95-106)
  90. ACM
    Li L and Xue J (2004). A trace-based binary compilation framework for energy-aware computing, ACM SIGPLAN Notices, 39:7, (95-106), Online publication date: 11-Jul-2004.
  91. Jeong W, Paul B and Roy K Adaptive supply voltage technique for low swing interconnects Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (284-287)
  92. Rapaka V, Talpes E and Marculescu D Mixed-clock issue queue design for energy aware, high-performance cores Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (380-383)
  93. Rao R, Srivastava A, Blaauw D and Sylvester D (2004). Statistical analysis of subthreshold leakage current for VLSI circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12:2, (131-139), Online publication date: 1-Feb-2004.
  94. ACM
    Ashok R, Chheda S and Moritz C (2004). Coupling compiler-enabled and conventional memory accessing for energy efficiency, ACM Transactions on Computer Systems, 22:2, (180-213), Online publication date: 1-May-2004.
  95. ACM
    Kim H, Vijaykrishnan N, Kandemir M and Irwin M (2003). Adapting instruction level parallelism for optimizing leakage in VLIW architectures, ACM SIGPLAN Notices, 38:7, (275-283), Online publication date: 11-Jul-2003.
  96. ACM
    Kim H, Vijaykrishnan N, Kandemir M and Irwin M Adapting instruction level parallelism for optimizing leakage in VLIW architectures Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems, (275-283)
  97. ACM
    Zhang W, Karakoy M, Kandemir M and Chen G A compiler approach for reducing data cache energy Proceedings of the 17th annual international conference on Supercomputing, (76-85)
  98. ACM
    Srivastava A and Sylvester D Minimizing total power by simultaneous Vdd/Vth assignment Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (400-403)
  99. Kim N, Austin T, Blaauw D, Mudge T, Flautner K, Hu J, Irwin M, Kandemir M and Narayanan V (2003). Leakage Current, Computer, 36:12, (68-75), Online publication date: 1-Dec-2003.
  100. ACM
    Rao R, Srivastava A, Blaauw D and Sylvester D Statistical estimation of leakage current considering inter- and intra-die process variation Proceedings of the 2003 international symposium on Low power electronics and design, (84-89)
  101. ACM
    Chatterjee B, Sachdev M, Hsu S, Krishnamurthy R and Borkar S Effectiveness and scaling trends of leakage control techniques for sub-130nm CMOS technologies Proceedings of the 2003 international symposium on Low power electronics and design, (122-127)
  102. ACM
    Oh K and Kim L A clock delayed sleep mode domino logic for wide dynamic OR gate Proceedings of the 2003 international symposium on Low power electronics and design, (176-179)
  103. ACM
    Rapaka V and Marculescu D A mixed-clock issue queue design for globally asynchronous, locally synchronous processor cores Proceedings of the 2003 international symposium on Low power electronics and design, (372-377)
  104. Kandemir M, Zhang W and Karakoy M Runtime Code Parallelization for On-Chip Multiprocessors Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  105. Kandemir M, Kolcu I and Zhang W Implementation and Evaluation of an On-Demand Parameter-Passing Strategy for Reducing Energy Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  106. Yang S and Falsafi B Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
  107. Yan L, Luo J and Jha N Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Heterogeneous Distributed Real-time Embedded Systems Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  108. Pant S, Blaauw D, Zolotov V, Sundareswaran S and Panda R Vectorless Analysis of Supply Noise Induced Delay Variation Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  109. Shang L, Peh L and Jha N Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks Proceedings of the 9th International Symposium on High-Performance Computer Architecture
  110. Liao W and He L Coupled power and thermal simulation with active cooling Proceedings of the Third international conference on Power - Aware Computer Systems, (148-163)
  111. ACM
    Kim S, Vijaykrishnan N, Kandemir M, Sivasubramaniam A and Irwin M (2003). Partitioned instruction cache architecture for energy efficiency, ACM Transactions on Embedded Computing Systems, 2:2, (163-185), Online publication date: 1-May-2003.
  112. ACM
    Kadayif I, Kandemir M and Karakoy M An energy saving strategy based on adaptive loop parallelization Proceedings of the 39th annual Design Automation Conference, (195-200)
  113. ACM
    Luz V, Kandemir M and Kolcu I Automatic data migration for reducing energy consumption in multi-bank memory systems Proceedings of the 39th annual Design Automation Conference, (213-218)
  114. ACM
    Grodstein J, Rayess R, Truex T, Shattuck L, Lowell S, Bailey D, Bertucci D, Bischoff G, Dever D, Gowan M, Lane R, Lilly B, Nagalla K, Shah R, Shriver E, Yin S and Morton S Power and CAD considerations for the 1.75mbyte, 1.2ghz L2 cache on the alpha 21364 CPU Proceedings of the 12th ACM Great Lakes symposium on VLSI, (1-6)
  115. ACM
    Saputra H, Kandemir M, Vijaykrishnan N, Irwin M, Hu J, Hsu C and Kremer U Energy-conscious compilation based on voltage scaling Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems, (2-11)
  116. ACM
    Hrishikesh M, Burger D, Jouppi N, Keckler S, Farkas K and Shivakumar P (2002). The optimal logic depth per pipeline stage is 6 to 8 FO4 inverter delays, ACM SIGARCH Computer Architecture News, 30:2, (14-24), Online publication date: 1-May-2002.
  117. ACM
    Heo S, Barr K, Hampton M and Asanović K (2002). Dynamic fine-grain leakage reduction using leakage-biased bitlines, ACM SIGARCH Computer Architecture News, 30:2, (137-147), Online publication date: 1-May-2002.
  118. ACM
    Saputra H, Kandemir M, Vijaykrishnan N, Irwin M, Hu J, Hsu C and Kremer U (2002). Energy-conscious compilation based on voltage scaling, ACM SIGPLAN Notices, 37:7, (2-11), Online publication date: 17-Jul-2002.
  119. ACM
    Zhao M, Gala K, Zolotov V, Fu Y, Panda R, Ramkumar R and Agrawal B Worst case clock skew under power supply variations Proceedings of the 8th ACM/IEEE international workshop on Timing issues in the specification and synthesis of digital systems, (22-28)
  120. ACM
    Kuroda T Optimization and control of VDD and VTH for low-power, high-speed CMOS design Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (28-34)
  121. ACM
    Martin S, Flautner K, Mudge T and Blaauw D Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (721-725)
  122. ACM
    Chen G, Kandemir M, Vijaykrishnan N, Irwin M and Wolf W Energy savings through compression in embedded Java environments Proceedings of the tenth international symposium on Hardware/software codesign, (163-168)
  123. ACM
    Kandemir M, Ramanujam J and Choudhary A Exploiting shared scratch pad memory space in embedded multiprocessor systems Proceedings of the 39th annual Design Automation Conference, (219-224)
  124. ACM
    Kadayif I, Kandemir M and Sezer U An integer linear programming based approach for parallelizing applications in On-chip multiprocessors Proceedings of the 39th annual Design Automation Conference, (703-706)
  125. Flautner K and Mudge T Vertigo Proceedings of the 5th symposium on Operating systems design and implementation (Copyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading), (105-116)
  126. Hrishikesh M, Burger D, Jouppi N, Keckler S, Farkas K and Shivakumar P The optimal logic depth per pipeline stage is 6 to 8 FO4 inverter delays Proceedings of the 29th annual international symposium on Computer architecture, (14-24)
  127. Heo S, Barr K, Hampton M and Asanović K Dynamic fine-grain leakage reduction using leakage-biased bitlines Proceedings of the 29th annual international symposium on Computer architecture, (137-147)
  128. Sivasubramaniam A, Kandemir M, Vijaykrishnan N and Irwin M Designing Energy-Efficient Software Proceedings of the 16th International Parallel and Distributed Processing Symposium
  129. Li L, Kadayif I, Tsai Y, Vijaykrishnan N, Kandemir M, Irwin M and Sivasubramaniam A Leakage Energy Management in Cache Hierarchies Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques, (131-140)
  130. Duarte D, Tsai Y, Vijaykrishnan N and Irwin M Evaluating Run-Time Techniques for Leakage Power Reduction Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  131. Kadayif I, Kandemir M, Vijaykrishnan N, Irwin M and Sivasubramaniam A EAC Proceedings of the conference on Design, automation and test in Europe
  132. ACM
    Abdollahi A, Pedram M and Fallah F Runtime mechanisms for leakage current reduction in CMOS VLSI circuits1,2 Proceedings of the 2002 international symposium on Low power electronics and design, (213-218)
  133. ACM
    Flautner K and Mudge T (2002). Vertigo, ACM SIGOPS Operating Systems Review, 36:SI, (105-116), Online publication date: 31-Dec-2003.
  134. ACM
    Borkar S Low power design challenges for the decade (invited talk) Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (293-296)
  135. ACM
    Kim S, Vijaykrishnan N, Kandemir M, Sivasubramaniam A, Irwin M and Geethanjali E Power-aware partitioned cache architectures Proceedings of the 2001 international symposium on Low power electronics and design, (64-67)
  136. ACM
    Narendra S, De V, Antoniadis D, Chandrakasan A and Borkar S Scaling of stack effect and its application for leakage reduction Proceedings of the 2001 international symposium on Low power electronics and design, (195-200)
  137. ACM
    Kadayif I, Kandemir M, Vijaykrishnan N, Irwin M and Ramanujam J (2001). Morphable Cache Architectures, ACM SIGPLAN Notices, 36:8, (128-137), Online publication date: 1-Aug-2001.
  138. ACM
    Kadayif I, Kandemir M, Vijaykrishnan N, Irwin M and Ramanujam J Morphable Cache Architectures Proceedings of the ACM SIGPLAN workshop on Languages, compilers and tools for embedded systems, (128-137)
  139. ACM
    Kadayif I, Kandemir M, Vijaykrishnan N, Irwin M and Ramanujam J Morphable Cache Architectures Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems, (128-137)
  140. ACM
    Kim S, Vijaykrishnan N, Kandemir M and Irwin M Energy-efficient instruction cache using page-based placement Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems, (229-237)
  141. Zhang W, Vijaykrishnan N, Kandemir M, Irwin M, Duarte D and Tsai Y Exploiting VLIW schedule slacks for dynamic and leakage energy reduction Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture, (102-113)
  142. Brown M, Stark J and Patt Y Select-free instruction scheduling logic Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture, (204-213)
  143. ACM
    Taylor C, Dey S and Zhao Y Modeling and minimization of interconnect energy dissipation in nanometer technologies Proceedings of the 38th annual Design Automation Conference, (754-757)
  144. Josephson D, Poehlman S, Govan V and Mumford C Test Methodology for the McKinley Processor Proceedings of the 2001 IEEE International Test Conference
Contributors
  • Massachusetts Institute of Technology

Recommendations