skip to main content
10.1145/2835512.2835514acmotherconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Design of TSV-Sharing Topologies for Cost-Effective 3D Networks-on-Chip

Authors Info & Claims
Published:05 December 2015Publication History

ABSTRACT

The Through-Silicon Via (TSV) technology has led to major breakthroughs in 3D stacking by providing higher speed and bandwidth, as well as lower power dissipation for the inter-layer communication. However, the current TSV fabrication suffers from a considerable area footprint and yield loss. Thus, it is necessary to restrict the number of TSVs in order to design cost-effective 3D on-chip networks. This critical issue can be addressed by clustering the network such that all of the routers within each cluster share a single TSV pillar for the vertical packet transmission. In some of the existing topologies, additional cluster routers are augmented into the mesh structure to handle the shared TSVs. However, they impose either performance degradation or power/area overhead to the system. Furthermore, the resulting architecture is no longer a mesh. In this paper, we redefine the clusters by replacing some routers in the mesh with the cluster routers, such that the mesh structure is preserved. The simulation results demonstrate a better equilibrium between performance and cost, using the proposed models.

References

  1. W. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Sheibanyrad, F. Pétrot, and A. Jantsch, editors. 3D Integration for NoC-based SoC Architectures. Springer, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. Spiesshoefer, L. Schaper, S. Burkett, and G. Vangara. Z-axis interconnects using fine pitch, nanoscale through-silicon vias: Process development. In Proc. ECTC, pages 466--471, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  4. F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan, and M. Kandemir. Design and management of 3D chip multiprocessors using Network-in-Memory. In Proc. ISCA, pages 130--141, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Y. Wang, Y.-H. Han, L. Zhang, B.-Z. Fu, C. Liu, H.-W. Li, and X. Li. Economizing TSV resources in 3-D Network-on-Chip design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 23(3):493--506, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  6. S. Pasricha. Exploring serial vertical interconnects for 3D ICs. In Proc. ACM/IEEE DAC, pages 581--586, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Ebrahimi, M. Daneshtalab, P. Liljeberg, J. Plosila, and H. Tenhunen. Cluster-based topologies for 3D Networks-on-Chip using advanced inter-layer bus architecture. Journal Comput. Syst. Sci. (JCSS), 79(4):475--791, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. I. Loi, F. Angiolini, and L. Benini. Supporting vertical links for 3D Networks-on-Chip: Toward an automated design and analysis flow. In Proc. Conf. Nano-Networks, pages 1--5, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. X. Dong and Y. Xie. System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs). In Proc. ASP-DAC, pages 234--241, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. B. Feero and P. Pande. Networks-on-Chip in a three-dimensional environment: A performance evaluation. IEEE Trans. Comput., 8(1):32--45, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. V. Pavlidis and E. Friedman. 3-D topologies for Networks-on-Chip. IEEE Trans. VLSI Syst., 15(10):1081--1090, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Kim, C. Nikopoulos, D. Park, R. Das, Y. Xie, V. Narayanan, M. Yousif, and C. Das. A novel dimensionally-decomposed router for on-chip communication in 3D architectures. In Proc. ISCA, pages 138--149, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A.-M. Rahmani, K. Latif, P. Liljeberg, J. Plosila, and H. Tenhunen. Research and practices on 3D Networks-on-Chip architectures. In Proc. IEEE Norchip Conf., pages 1--6, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  14. S. Yan and B. Lin. Design of application-specific 3D Networks-on-Chip architectures. In Proc. IEEE ICCD, pages 142--149, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  15. R. Holsmark, M. Palesi, and S. Kumar. Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions. Journal of Syst. Architect. (JSA), 54(3--4):427--440, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. N. Jiang, D. Becker, G. Michelogiannakis, J. Balfour, B. Towles, D. Shaw, J. Jim, and W. Dally. A detailed and flexible cycle-accurate Network-on-Chip simulator. In Proc. IEEE ISPASS, pages 86--96, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  17. G. Bezerra, S. Forrest, M. Moses, A. Davis, and P. Zarkesh-Ha. Modeling NoC traffic locality and energy consumption with Rent's communication probability distribution. In Proc. ACM/IEEE Workshop SLIP, pages 3--8, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. G. Guindani, C. Reinbrecht, T. Raupp, N. Calazans, and F. Moraes. NoC power estimation at the RTL abstraction level. In Proc. ISVLSI, pages 86--96, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. Design of TSV-Sharing Topologies for Cost-Effective 3D Networks-on-Chip

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Other conferences
      NoCArc '15: Proceedings of the 8th International Workshop on Network on Chip Architectures
      December 2015
      47 pages
      ISBN:9781450339636
      DOI:10.1145/2835512

      Copyright © 2015 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 5 December 2015

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed limited

      Acceptance Rates

      NoCArc '15 Paper Acceptance Rate6of21submissions,29%Overall Acceptance Rate46of122submissions,38%
    • Article Metrics

      • Downloads (Last 12 months)1
      • Downloads (Last 6 weeks)0

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader