skip to main content
Globally-asynchronous locally-synchronous systems (performance, reliability, digital)
Publisher:
  • Stanford University
  • 408 Panama Mall, Suite 217
  • Stanford
  • CA
  • United States
Order Number:AAI8506166
Pages:
136
Bibliometrics
Skip Abstract Section
Abstract

This thesis provides a new framework for the design of very high performance digital machines. The new theoretical results which are presented have practical implications, and lead to a better understanding of possibilities and limitations in the design of computers, communication hardware and other digital machinery.

The discussion centers on different organizations for globally-asynchronous, locally-synchronous systems, and covers the following issues: organizations for complex digital systems, metastability as a limitation for high performance, structures for two classes of non-conventional architectures, optimization, performance, reliability, and design techniques.

We present new algorithms to compile the specifications of such machines onto efficient circuits, and to verify the correctness of the resulting machines. The models we developed for the analysis of the tradeoffs between different variables that affect the safety of operation of these systems, show that the proposed organizations result in extremely fast and reliable digital machines. The proposed organizational schemes can be used within a wide range of architectures, and integrated circuits designed according to this methodology have been developed and tested.

Cited By

  1. Bund J, Függer M and Medina M (2023). PALS: Distributed Gradient Clocking on Chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 31:11, (1740-1753), Online publication date: 1-Nov-2023.
  2. Naqvi S, Akram T, Haider S and Kamran M (2018). Artificial neural networks based dynamic priority arbitration for asynchronous flow control, Neural Computing and Applications, 29:7, (627-637), Online publication date: 1-Apr-2018.
  3. Lussari E, Oliveira D, Faria L and Verducci O Software-defined radio design based on GALS architecture for FPGAs Proceedings of the 29th Symposium on Integrated Circuits and Systems Design: Chip on the Mountains, (1-6)
  4. de Magalhães F, Hessel F, Liboiron-Ladouceur O and Nicolescu G Cluster-based architecture relying on optical integrated networks with the provision of a low-latency arbiter Proceedings of the 29th Symposium on Integrated Circuits and Systems Design: Chip on the Mountains, (1-6)
  5. Majumdar R Robots at the Edge of the Cloud Proceedings of the 22nd International Conference on Tools and Algorithms for the Construction and Analysis of Systems - Volume 9636, (3-13)
  6. ACM
    Miorandi G, Tala M, Balboni M, Ramini L and Bertozzi D Evolutionary vs. Revolutionary Interconnect Technologies for Future Low-Power Multi-Core Systems Proceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, (1-6)
  7. Cilardo A and Fusella E (2016). Design automation for application-specific on-chip interconnects, Integration, the VLSI Journal, 52:C, (102-121), Online publication date: 1-Jan-2016.
  8. Pande P, Kim R, Choi W, Chen Z, Marculescu D and Marculescu R The (Low) Power of Less Wiring Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, (165-169)
  9. Mamaghani M, Garside J and Edwards D De-elastisation Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, (273-276)
  10. Chen Y, Chen Y and Madelaine E (2015). Timed-pNets, Frontiers of Computer Science: Selected Publications from Chinese Universities, 9:1, (87-110), Online publication date: 1-Feb-2015.
  11. ACM
    Sallam M, El-Kharashi M and Dessouky M The Connection-Then-Credit Flow Control Protocol for Networks-On-Chips Proceedings of the 2014 International Workshop on Network on Chip Architectures, (25-30)
  12. ACM
    Kim R, Liu G, Wettin P, Marculescu R, Marculescu D and Pande P Energy-efficient VFI-partitioned multicore design using wireless NoC architectures Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, (1-9)
  13. ACM
    Dolev D, Függer M, Schmid U and Lenzen C (2014). Fault-tolerant algorithms for tick-generation in asynchronous logic, Journal of the ACM, 61:5, (1-74), Online publication date: 8-Sep-2014.
  14. ACM
    Khavari Tavana M, Kulkarni A, Rahimi A, Mohsenin T and Homayoun H Energy-efficient mapping of biomedical applications on domain-specific accelerator under process variation Proceedings of the 2014 international symposium on Low power electronics and design, (275-278)
  15. Ben Khadra M, Bai Y and Schneider K High level modeling of elastic circuits in SystemC Proceedings of the Symposium on Theory of Modeling & Simulation - DEVS Integrative, (1-8)
  16. ACM
    Anagnostopoulos I, Chabloz J, Koutras I, Bartzas A, Hemani A and Soudris D (2013). Power-aware dynamic memory management on many-core platforms utilizing DVFS, ACM Transactions on Embedded Computing Systems (TECS), 13:1s, (1-25), Online publication date: 1-Nov-2013.
  17. Navaridas J, Furber S, Garside J, Jin X, Khan M, Lester D, Luján M, Miguel-Alonso J, Painkras E, Patterson C, Plana L, Rast A, Richards D, Shi Y, Temple S, Wu J and Yang S (2013). SpiNNaker, Parallel Computing, 39:11, (693-708), Online publication date: 1-Nov-2013.
  18. ACM
    Dolev D, Függer M, Lenzen C, Perner M and Schmid U HEX Proceedings of the twenty-fifth annual ACM symposium on Parallelism in algorithms and architectures, (164-175)
  19. Fernandes J, Koutny M, Pietkiewicz-Koutny M, Sokolov D and Yakovlev A Step persistence in the design of GALS systems Proceedings of the 34th international conference on Application and Theory of Petri Nets and Concurrency, (190-209)
  20. Ghiribaldi A, Bertozzi D and Nowick S A transition-signaling bundled data NoC switch architecture for cost-effective GALS multicore systems Proceedings of the Conference on Design, Automation and Test in Europe, (332-337)
  21. Khalimov A, Jacobs S and Bloem R Towards Efficient Parameterized Synthesis Proceedings of the 14th International Conference on Verification, Model Checking, and Abstract Interpretation - Volume 7737, (108-127)
  22. Williams L Robust evaluation of expressions by distributed virtual machines Proceedings of the 11th international conference on Unconventional Computation and Natural Computation, (222-233)
  23. ACM
    Al-bayati Z, Ait Mohamed O, Hasan S and Savaria Y A novel hybrid FIFO asynchronous clock domain crossing interfacing method Proceedings of the great lakes symposium on VLSI, (271-274)
  24. ACM
    Proença J, Clarke D, de Vink E and Arbab F Dreams Proceedings of the 27th Annual ACM Symposium on Applied Computing, (1510-1515)
  25. Fan X, Krstić M, Grass E, Sanders B and Heer C Exploring pausible clocking based GALS design for 40-nm system integration Proceedings of the Conference on Design, Automation and Test in Europe, (1118-1121)
  26. Függer M and Schmid U (2012). Reconciling fault-tolerant distributed computing and systems-on-chip, Distributed Computing, 24:6, (323-355), Online publication date: 1-Jan-2012.
  27. Dolev D, Függer M, Lenzen C and Schmid U Fault-tolerant algorithms for tick-generation in asynchronous logic Proceedings of the 13th international conference on Stabilization, safety, and security of distributed systems, (163-177)
  28. ACM
    Sun W and Salcic Z (2011). GALS-Designer, ACM Transactions on Design Automation of Electronic Systems (TODAES), 16:4, (1-24), Online publication date: 1-Oct-2011.
  29. Rahimi A, Salehi M, Mohammadi S and Fakhraie S (2018). Low-energy GALS NoC with FIFO-Monitoring dynamic voltage scaling, Microelectronics Journal, 42:6, (889-896), Online publication date: 1-Jun-2011.
  30. ACM
    Gamatie A and Gonnord L (2011). Static analysis of synchronous programs in signal for efficient design of multi-clocked embedded systems, ACM SIGPLAN Notices, 46:5, (71-80), Online publication date: 11-Apr-2011.
  31. ACM
    Gamatie A and Gonnord L Static analysis of synchronous programs in signal for efficient design of multi-clocked embedded systems Proceedings of the 2011 SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems, (71-80)
  32. Pontes J, Moreira M, Moraes F and Calazans N Hermes-a - an asynchronous NoC router with distributed routing Proceedings of the 20th international conference on Integrated circuit and system design: power and timing modeling, optimization and simulation, (150-159)
  33. ACM
    Soares R, Calazans N, Lomné V, Dehbaoui A, Maurine P and Torres L A GALS pipeline DES architecture to increase robustness against DPA and DEMA attacks Proceedings of the 23rd symposium on Integrated circuits and system design, (115-120)
  34. Ghica D and Menaa M On the compositionality of round abstraction Proceedings of the 21st international conference on Concurrency theory, (417-431)
  35. ACM
    Chabloz J and Hemani A Distributed DVFS using rationally-related frequencies and discrete voltage levels Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, (247-252)
  36. ACM
    Rahmani A, Liljeberg P, Plosila J and Tenhunen H Power and performance optimization of voltage/frequency island-based networks-on-chip using reconfigurable synchronous/bi-synchronous FIFOs Proceedings of the 7th ACM international conference on Computing frontiers, (267-276)
  37. Horak M, Nowick S, Carlberg M and Vishkin U A Low-Overhead Asynchronous Interconnection Network for GALS Chip Multiprocessors Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, (43-50)
  38. Yu Z and Baas B (2019). A low-area multi-link interconnect architecture for GALS chip multiprocessors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:5, (750-762), Online publication date: 1-May-2010.
  39. Agyekum M and Nowick S An error-correcting unordered code and hardware support for robust asynchronous global communication Proceedings of the Conference on Design, Automation and Test in Europe, (765-770)
  40. ACM
    Ludovici D, Strano A and Bertozzi D Architecture design principles for the integration of synchronization interfaces into Network-on-Chip switches Proceedings of the 2nd International Workshop on Network on Chip Architectures, (31-36)
  41. Polzer T, Handl T and Steininger A A Metastability-Free Multi-synchronous Communication Scheme for SoCs Proceedings of the 11th International Symposium on Stabilization, Safety, and Security of Distributed Systems, (578-592)
  42. Han T, Yoo J and Lee H (2009). Power, interface, and integration, IEEE Communications Magazine, 47:11, (172-179), Online publication date: 1-Nov-2009.
  43. ACM
    Díaz J, Hidalgo J, Fernández F, Garnica O and López S Improving SMT performance Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers, (2029-2034)
  44. Yu Z and Baas B (2009). High performance, energy efficiency, and scalability with GALS chip multiprocessors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:1, (66-79), Online publication date: 1-Jan-2009.
  45. ACM
    Garg S and Marculescu D (2008). System-level throughput analysis for process variation aware multiple voltage-frequency island designs, ACM Transactions on Design Automation of Electronic Systems (TODAES), 13:4, (1-25), Online publication date: 1-Sep-2008.
  46. Suhaib S, Mathaikutty D and Shukla S (2008). A trace-based framework for verifiable GALS composition of IPs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:9, (1176-1186), Online publication date: 1-Sep-2008.
  47. ACM
    Rahaman M and Chowdhury M Improved ber performance in intra-chip rf/wireless interconnect systems Proceedings of the 18th ACM Great Lakes symposium on VLSI, (303-308)
  48. Proença J and Clarke D (2019). Coordination Models Orc and Reo Compared, Electronic Notes in Theoretical Computer Science (ENTCS), 194:4, (57-76), Online publication date: 1-Apr-2008.
  49. Ji R, Zeng X, Chen L and Zhang J The implementation and evaluation of a low-power clock distribution network based on EPIC Proceedings of the 2007 IFIP international conference on Network and parallel computing, (476-485)
  50. Krstić M, Grass E, Gürkaynak F and Vivet P (2007). Globally Asynchronous, Locally Synchronous Circuits, IEEE Design & Test, 24:5, (430-441), Online publication date: 1-Sep-2007.
  51. Teehan P, Greenstreet M and Lemieux G (2007). A Survey and Taxonomy of GALS Design Styles, IEEE Design & Test, 24:5, (418-428), Online publication date: 1-Sep-2007.
  52. ACM
    Ogras U, Marculescu R, Choudhary P and Marculescu D Voltage-frequency island partitioning for GALS-based networks-on-chip Proceedings of the 44th annual Design Automation Conference, (110-115)
  53. Miro Panades I and Greiner A Bi-Synchronous FIFO for Synchronous Circuit Communication Well Suited for Network-on-Chip in GALS Architectures Proceedings of the First International Symposium on Networks-on-Chip, (83-94)
  54. Sheibanyrad A, Panades I and Greiner A Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture Proceedings of the conference on Design, automation and test in Europe, (1090-1095)
  55. ACM
    Fernández C, Raval R and Bleakley C GALS SoC interconnect bus for wireless sensor network processor platforms Proceedings of the 17th ACM Great Lakes symposium on VLSI, (132-137)
  56. ACM
    Kondo M, Sasaki H and Nakamura H (2007). Improving fairness, throughput and energy-efficiency on a chip multiprocessor through DVFS, ACM SIGARCH Computer Architecture News, 35:1, (31-38), Online publication date: 1-Mar-2007.
  57. ACM
    Ghica D Geometry of synthesis Proceedings of the 34th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (363-375)
  58. ACM
    Ghica D (2007). Geometry of synthesis, ACM SIGPLAN Notices, 42:1, (363-375), Online publication date: 17-Jan-2007.
  59. Westerlund T and Plosila J Time aware modelling and analysis of multiclocked VLSI systems Proceedings of the 8th international conference on Formal Methods and Software Engineering, (737-756)
  60. ACM
    Magklis G, Chaparro P, González J and González A Independent front-end and back-end dynamic voltage scaling for a GALS microarchitecture Proceedings of the 2006 international symposium on Low power electronics and design, (49-54)
  61. Dobkin R, Ginosar R and Sotiriou C (2006). High rate data synchronization in GALS socs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14:10, (1063-1074), Online publication date: 1-Oct-2006.
  62. ACM
    Bjerregaard T and Mahadevan S (2006). A survey of research and practices of Network-on-chip, ACM Computing Surveys (CSUR), 38:1, (1-es), Online publication date: 29-Jun-2006.
  63. ACM
    Cohen A, Duranton M, Eisenbeis C, Pagetti C, Plateau F and Pouzet M (2006). N-synchronous Kahn networks, ACM SIGPLAN Notices, 41:1, (180-193), Online publication date: 12-Jan-2006.
  64. ACM
    Cohen A, Duranton M, Eisenbeis C, Pagetti C, Plateau F and Pouzet M N-synchronous Kahn networks Conference record of the 33rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (180-193)
  65. Doucet F, Menarini M, Krüger I, Gupta R and Talpin J (2019). A Verification Approach for GALS Integration of Synchronous Components, Electronic Notes in Theoretical Computer Science (ENTCS), 146:2, (105-131), Online publication date: 1-Jan-2006.
  66. Bormann D (2019). GALS Test Chip on 130nm Process, Electronic Notes in Theoretical Computer Science (ENTCS), 146:2, (29-40), Online publication date: 1-Jan-2006.
  67. Heath M, Burleson W and Harris I (2005). Synchro-Tokens, IEEE Transactions on Computers, 54:12, (1532-1546), Online publication date: 1-Dec-2005.
  68. ACM
    McGee P, Nowick S and Coffman E Efficient performance analysis of asynchronous systems based on periodicity Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (225-230)
  69. ACM
    Niyogi K and Marculescu D System level power and performance modeling of GALS point-to-point communication interfaces Proceedings of the 2005 international symposium on Low power electronics and design, (381-386)
  70. Bomel P, Abdelli N, Martin E, Fouilliart A, Boutillon E and Kajfasz P DVB-DSNG modem high level synthesis in an optimized latency insensitive system context Proceedings of the 5th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation, (424-433)
  71. Bhunia S, Datta A, Banerjee N and Roy K (2005). GAARP, IEEE Transactions on Computers, 54:6, (752-766), Online publication date: 1-Jun-2005.
  72. Agiwal A and Singh M An architecture and a wrapper synthesis approach for multi-clock latency-insensitive systems Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (1006-1013)
  73. ACM
    Sethuraman B, Bhattacharya P, Khan J and Vemuri R LiPaR Proceedings of the 15th ACM Great Lakes symposium on VLSI, (452-457)
  74. ACM
    Saleh K, Najibi M, Naderi M, Pedram H and Sedighi M A novel clock generation scheme for globally asynchronous locally synchronous systems Proceedings of the 15th ACM Great Lakes symposium on VLSI, (296-301)
  75. Uht A (2005). Uniprocessor Performance Enhancement through Adaptive Clock Frequency Control, IEEE Transactions on Computers, 54:2, (132-140), Online publication date: 1-Feb-2005.
  76. ACM
    Niyogi K and Marculescu D Speed and voltage selection for GALS systems based on voltage/frequency islands Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (292-297)
  77. ACM
    Ekanayake V, Kelly C and Manohar R (2004). An ultra low-power processor for sensor networks, ACM SIGOPS Operating Systems Review, 38:5, (27-36), Online publication date: 1-Dec-2004.
  78. ACM
    Ekanayake V, Kelly C and Manohar R (2004). An ultra low-power processor for sensor networks, ACM SIGARCH Computer Architecture News, 32:5, (27-36), Online publication date: 1-Dec-2004.
  79. ACM
    Ekanayake V, Kelly C and Manohar R (2004). An ultra low-power processor for sensor networks, ACM SIGPLAN Notices, 39:11, (27-36), Online publication date: 1-Nov-2004.
  80. ACM
    Ekanayake V, Kelly C and Manohar R An ultra low-power processor for sensor networks Proceedings of the 11th international conference on Architectural support for programming languages and operating systems, (27-36)
  81. ACM
    Marculescu D Application adaptive energy efficient clustered architectures Proceedings of the 2004 international symposium on Low power electronics and design, (344-349)
  82. ACM
    Nookala V and Sapatnekar S A method for correcting the functionality of a wire-pipelined circuit Proceedings of the 41st annual Design Automation Conference, (570-575)
  83. ACM
    Upadhyay A, Hasan S and Nekili M Optimal partitioning of globally asychronous locally synchronous processor arrays Proceedings of the 14th ACM Great Lakes symposium on VLSI, (7-12)
  84. Seceleanu T and Westerlund T Aspects of Formal and Graphical Design of a Bus System Proceedings of the conference on Design, automation and test in Europe - Volume 1
  85. Heath M, Burleson W and Harris I Synchro-Tokens Proceedings of the conference on Design, automation and test in Europe - Volume 1
  86. Dumitras T, Kerner S and Marculescu R Enabling on-chip diversity through architectural communication design Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (799-805)
  87. Rapaka V, Talpes E and Marculescu D Mixed-clock issue queue design for energy aware, high-performance cores Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (380-383)
  88. ACM
    Rapaka V and Marculescu D A mixed-clock issue queue design for globally asynchronous, locally synchronous processor cores Proceedings of the 2003 international symposium on Low power electronics and design, (372-377)
  89. ACM
    Magklis G, Scott M, Semeraro G, Albonesi D and Dropsho S Profile-based dynamic voltage and frequency scaling for a multiple clock domain microprocessor Proceedings of the 30th annual international symposium on Computer architecture, (14-27)
  90. ACM
    Magklis G, Scott M, Semeraro G, Albonesi D and Dropsho S (2003). Profile-based dynamic voltage and frequency scaling for a multiple clock domain microprocessor, ACM SIGARCH Computer Architecture News, 31:2, (14-27), Online publication date: 1-May-2003.
  91. ACM
    Chattopadhyay A and Zilic Z A globally asynchronous locally dynamic system for ASICs and SoCs Proceedings of the 13th ACM Great Lakes symposium on VLSI, (176-181)
  92. ACM
    Cong J, Fan Y, Yang X and Zhang Z Architecture and synthesis for multi-cycle communication Proceedings of the 2003 international symposium on Physical design, (190-196)
  93. Dumitras T and Marculescu R On-Chip Stochastic Communication Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  94. ACM
    Iyer A and Marculescu D Power efficiency of voltage scaling in multiple clock, multiple voltage cores Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (379-386)
  95. Xia F, Yakovlev A, Clark I and Shang D (2018). Data Communication in Systems with Heterogeneous Timing, IEEE Micro, 22:6, (58-69), Online publication date: 1-Nov-2002.
  96. Iyer A and Marculescu D Power and performance evaluation of globally asynchronous locally synchronous processors Proceedings of the 29th annual international symposium on Computer architecture, (158-168)
  97. ACM
    Iyer A and Marculescu D (2002). Power and performance evaluation of globally asynchronous locally synchronous processors, ACM SIGARCH Computer Architecture News, 30:2, (158-168), Online publication date: 1-May-2002.
  98. Hu J, Deng Y and Marculescu R System-Level Point-to-Point Communication Synthesis Using Floorplanning Information Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  99. Saito H, Nanya T and Kondratyev A Design of Asynchronous Controllers with Delay Insensitive Interface Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  100. ACM
    Chelcea T and Nowick S Robust interfaces for mixed-timing systems with application to latency-insensitive protocols Proceedings of the 38th annual Design Automation Conference, (21-26)
  101. ACM
    Buyuktosunoglu A, Albonesi D, Schuster S, Brooks D, Bose P and Cook P A circuit level implementation of an adaptive issue queue for power-aware microprocessors Proceedings of the 11th Great Lakes symposium on VLSI, (73-78)
  102. ACM
    Brunvand E, Nowick S and Yun K Practical advances in asynchronous design and in asynchronous/synchronous interfaces Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (104-109)
  103. Chapiro D (1987). Reliable High-Speed Arbitration and Synchronization, IEEE Transactions on Computers, 36:10, (1251-1255), Online publication date: 1-Oct-1987.
Contributors
  • Stanford University

Recommendations