skip to main content
Skip header Section
SystemC: methodologies and applicationsJanuary 2003
Publisher:
  • Kluwer Academic Publishers
  • 101 Philip Drive Assinippi Park Norwell, MA
  • United States
ISBN:978-1-4020-7479-0
Published:01 January 2003
Pages:
362
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Skip Table Of Content Section
chapter
Foreword
pp .009–.011
chapter
chapter
A systemC based system on chip modelling and design methodology
pp 1–27

This paper describes aspects of the process and methodologies used in the development of a complex System On Chip. SystemC played a key role in supporting the technical work based on a defined refinement process from early architectural modelling to ...

chapter
Using transactional level models in a SoC design flow
pp 29–63

Embedded software accounts for more than half of the total development time of a system on a chip (SoC). The complexity of the hardware is becoming so high that the definition of the chip architecture and the verification of the implementation require ...

chapter
Refining a high level systemC model
pp 65–95

The objective of this paper is to present a possible flow, using SystemC, to make the transition from a high level data flow description towards an implementable model. The main focus is behavior refinement, in other words the modification of the module ...

chapter
An ASM based systemC simulation semantics
pp 97–126

We present a formal definition of the event based SystemC V2.0 simulation semantics by means of distributed Abstract State Machines (ASMs). Our definition provides a rigorous and concise, but yet readable, definition of the SystemC specific operations ...

chapter
SystemC as a complete design and validation environment
pp 127–156

Synthesis tools for SystemC descriptions are mature enough to cover the design flow from the system level to the gate level, whilst SystemC centered validation methodologies are still under development. This chapter presents a complete validation ...

chapter
System level performance estimation of multi-processing, multi-threading SoC architectures for networking applications
pp 157–190

The design of emerging networking architectures opens a multiple scenario of alternatives. If the design starts at a higher level of abstraction the process towards the selection of the optimal target architecture, as well as the partitioning of the ...

chapter
SVE: a methodology for the design of protocol dominated digital systems
pp 191–216

In this article an efficient methodology is proposed for the SystemC based design of digital systems which are protocol dominated, such as communication networks based on serial protocols. In the development process of such systems a large amount of ...

chapter
Object oriented hardware design and synthesis based on systemC 2.0
pp 217–246

In this article we will briefly discuss the good prospects that SystemC opens for high level, and especially object oriented, hardware modeling. But we will also highlight the fundamental problems that SystemC's higher level language constructs ...

chapter
Embedded software generation from systemC for platform based design
pp 247–272

The current trend in embedded system design is towards an increasing percentage of the embedded SW development cost of the total embedded system design costs. There is a clear need of reducing SW generation cost while maintaining reliability and design ...

chapter
SystemC-AMS: rationales, state of the art, and examples
pp 273–297

Many technical systems consist of digital and analog subsystems in which some of the digital parts are controlled by software. In addition, the environment of the systems which have to be designed may comprise analog components. Mixed-signal simulation, ...

chapter
Modeling and refinement of mixed-signal systems with systemC
pp 299–323

This chapter describes methods for the simulation and the design of complex signal processing systems with SystemC. The design starts with a block diagram which can be simulated in SystemC. Refinement steps transform the block diagram to a more detailed ...

chapter
References
pp 325–341

Cited By

  1. Fuente D, Barba J, López J, Peñil P, Posadas H and Sánchez P (2017). Synthesis of simulation and implementation code for OpenMAX multimedia heterogeneous systems from UML/MARTE models, Multimedia Tools and Applications, 76:6, (8195-8226), Online publication date: 1-Mar-2017.
  2. Tabkhi H, Bushey R and Schirner G (2015). Conceptual Abstraction Levels (CALs) for managing design complexity of market-oriented MPSoCs, Microprocessors & Microsystems, 39:8, (704-719), Online publication date: 1-Nov-2015.
  3. ACM
    Li X and Hammami O Multi-objective topology synthesis and FPGA prototyping framework of application specific network-on-chip Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, (55-60)
  4. ACM
    Razavi N, Behjati R, Sabouri H, Khamespanah E, Shali A and Sirjani M (2011). Sysfier, ACM Transactions on Embedded Computing Systems (TECS), 10:2, (1-35), Online publication date: 1-Dec-2010.
  5. Park S, Yoon S and Chae S (2009). A mixed-level virtual prototyping environment for SystemC-based design methodology, Microelectronics Journal, 40:7, (1082-1093), Online publication date: 1-Jul-2009.
  6. Carroll D Rapid-prototyping emulation system co-emulation modelling interface for systemC real-time emulation Proceedings of the 12th WSEAS international conference on Systems, (691-697)
  7. ACM
    Herrera F and Villar E (2008). A framework for heterogeneous specification and design of electronic embedded systems in SystemC, ACM Transactions on Design Automation of Electronic Systems, 12:3, (1-31), Online publication date: 17-Aug-2007.
  8. ACM
    Große D, Ebendt R and Drechsler R Improvements for constraint solving in the systemc verification library Proceedings of the 17th ACM Great Lakes symposium on VLSI, (493-496)
  9. Habibi A and Tahar S (2006). Design and verification of systemc transaction-level models, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14:1, (57-68), Online publication date: 1-Jan-2006.
  10. Berkenkötter K and Hannemann U Modeling the railway control domain rigorously with a UML 2.0 profile Proceedings of the 25th international conference on Computer Safety, Reliability, and Security, (398-411)
  11. Habibi A and Tahar S Design for Verification of SystemC Transaction Level Models Proceedings of the conference on Design, Automation and Test in Europe - Volume 1, (560-565)
  12. Lee J, Chung M, Ahn K, Lee S and Kyung C A Prediction Packetizing Scheme for Reducing Channel Traffic in Transaction-Level Hardware/Software Co-Emulation Proceedings of the conference on Design, Automation and Test in Europe - Volume 1, (384-389)
  13. ACM
    Lee J, Yang W, Kwon Y, Kim Y and Kyung C Simulation acceleration of transaction-level models for SoC with RTL sub-blocks Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (499-502)
  14. ACM
    Moy M, Maraninchi F and Maillet-Contoz L Pinapa Proceedings of the 5th ACM international conference on Embedded software, (317-324)
  15. Blaurock O A SystemC-Based Modular Design and Verification Framework for C-Model Reuse in a HW/SW-Co-Design Flow Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7, (838-843)
  16. Lettnin D, Braun A, Bodgan M, Gerlach J and Rosenstiel W Synthesis of Embedded SystemC Design Proceedings of the conference on Design, automation and test in Europe - Volume 3
  17. Sciuto D, Martin G, Rosenstiel W, Swan S, Ghenassia F, Flake P and Srouji J SystemC and SystemVerilog Proceedings of the conference on Design, automation and test in Europe - Volume 1
  18. Checkers for SystemC designs Proceedings of the Second ACM/IEEE International Conference on Formal Methods and Models for Co-Design, (171-178)
  19. Bernstein A, Burton M and Ghenassia F How to bridge the abstraction gap in system level modeling and design Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (910-914)
Contributors
  • Technical University of Berlin
  • University of Tübingen
  • University of Tübingen

Recommendations