skip to main content
Skip header Section
Analog VLSI and neural systemsJanuary 1989
  • Author:
  • Carver Mead
Publisher:
  • Addison-Wesley Longman Publishing Co., Inc.
  • 75 Arlington Street, Suite 300 Boston, MA
  • United States
ISBN:978-0-201-05992-2
Published:03 January 1989
Pages:
371
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. Nishio K and Fukuda A (2024). Basic analog–digital circuit for motion detection based on the vertebrate retina with low power consumption, Artificial Life and Robotics, 29:1, (114-119), Online publication date: 1-Feb-2024.
  2. Hu Q and Zheng B (2023). An Efficient Takagi–Sugeno Fuzzy Zeroing Neural Network for Solving Time-Varying Sylvester Equation, IEEE Transactions on Fuzzy Systems, 31:7, (2401-2411), Online publication date: 1-Jul-2023.
  3. El-Sayed S, Spyrou T, Camuñas-Mesa L and Stratigopoulos H (2023). Compact Functional Testing for Neuromorphic Computing Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 42:7, (2391-2403), Online publication date: 1-Jul-2023.
  4. ACM
    Jones A, Ruen A and Jha R (2021). A Spiking Neuromorphic Architecture Using Gated-RRAM for Associative Memory, ACM Journal on Emerging Technologies in Computing Systems, 18:2, (1-22), Online publication date: 30-Apr-2022.
  5. Guo D and Lin X (2020). Li-Function Activated Zhang Neural Network for Online Solution of Time-Varying Linear Matrix Inequality, Neural Processing Letters, 52:1, (713-726), Online publication date: 1-Aug-2020.
  6. Bavandpour M, Sahay S, Mahmoodi M and Strukov D (2020). Efficient Mixed-Signal Neurocomputing Via Successive Integration and Rescaling, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 28:3, (823-827), Online publication date: 1-Mar-2020.
  7. ACM
    Polykretis I, Ivanov V and Michmizos K A Neural-Astrocytic Network Architecture Proceedings of the International Conference on Neuromorphic Systems, (1-8)
  8. ACM
    Mahmoodi M and Strukov D Breaking POps/J Barrier with Analog Multiplier Circuits Based on Nonvolatile Memories Proceedings of the International Symposium on Low Power Electronics and Design, (1-6)
  9. ACM
    Mahmoodi M and Strukov D Mixed-Signal POp/J Computing with Nonvolatile Memories Proceedings of the 2018 on Great Lakes Symposium on VLSI, (513-513)
  10. Guo D, Lin X, Su Z, Sun S and Huang Z (2018). Design and analysis of two discrete-time ZD algorithms for time-varying nonlinear minimization, Numerical Algorithms, 77:1, (23-36), Online publication date: 1-Jan-2018.
  11. Dongale T, Mohite S, Bagade A, Kamat R and Rajpure K (2017). Bio-mimicking the synaptic weights, analog memory, and forgetting effect using spray deposited WO3 memristor device, Microelectronic Engineering, 183:C, (12-18), Online publication date: 5-Nov-2017.
  12. Huang T (2017). Imitating the brain with neurocomputer a "new" way towards artificial general intelligence, International Journal of Automation and Computing, 14:5, (520-531), Online publication date: 1-Oct-2017.
  13. Oliveri G, Mostafa M, Teich W, Lindner J and Schumacher H (2017). Advanced Low Power High Speed Nonlinear Signal Processing, Journal of Signal Processing Systems, 89:1, (163-180), Online publication date: 1-Oct-2017.
  14. Kim S, Shah S and Hasler J (2017). Calibration of Floating-Gate SoC FPAA System, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25:9, (2649-2657), Online publication date: 1-Sep-2017.
  15. Hu M, Chen Y, Yang J, Wang Y and Li H (2017). A Compact Memristor-Based Dynamic Synapse for Spiking Neural Networks, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36:8, (1353-1366), Online publication date: 1-Aug-2017.
  16. ACM
    Ehsan M, An H, Zhou Z and Yi Y Adaptation of Enhanced TSV Capacitance as Membrane Property in 3D Brain-inspired Computing System Proceedings of the 54th Annual Design Automation Conference 2017, (1-6)
  17. Khan S, Ghani A and Khurram M (2017). Population coding for neuromorphic hardware, Neurocomputing, 239:C, (153-164), Online publication date: 24-May-2017.
  18. Guo D, Nie Z and Yan L (2016). Theoretical analysis, numerical verification and geometrical representation of new three-step DTZD algorithm for time-varying nonlinear equations solving, Neurocomputing, 214:C, (516-526), Online publication date: 19-Nov-2016.
  19. Prieto A, Prieto B, Ortigosa E, Ros E, Pelayo F, Ortega J and Rojas I (2016). Neural networks, Neurocomputing, 214:C, (242-268), Online publication date: 19-Nov-2016.
  20. Kameda T, Kimura M and Nakashima Y Letter Reproduction Simulator for Hardware Design of Cellular Neural Network Using Thin-Film Synapses Proceedings of the 23rd International Conference on Neural Information Processing - Volume 9948, (342-350)
  21. Osborn L, Kaliki R, Soares A and Thakor N (2016). Neuromimetic Event-Based Detection for Closed-Loop Tactile Feedback Control of Upper Limb Prostheses, IEEE Transactions on Haptics, 9:2, (196-206), Online publication date: 1-Apr-2016.
  22. Ye F, Firouzi F, Yang Y, Chakrabarty K and Tahoori M (2016). On-Chip Droop-Induced Circuit Delay Prediction Based on Support-Vector Machines, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35:4, (665-678), Online publication date: 1-Apr-2016.
  23. Naous R, Al-Shedivat M and Salama K (2016). Stochasticity Modeling in Memristors, IEEE Transactions on Nanotechnology, 15:1, (15-28), Online publication date: 1-Jan-2016.
  24. Palit I, Lou Q, Acampora N, Nahas J, Niemier M and Hu X Analytically Modeling Power and Performance of a CNN System Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, (186-193)
  25. ACM
    Liu C, Yan B, Yang C, Song L, Li Z, Liu B, Chen Y, Li H, Wu Q and Jiang H A spiking neuromorphic design with resistive crossbar Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  26. Brown A, Furber S, Reeve J, Garside J, Dugan K, Plana L and Temple S (2015). SpiNNaker—Programming Model, IEEE Transactions on Computers, 64:6, (1769-1782), Online publication date: 1-Jun-2015.
  27. Pérez-Peña F, Morgado-Estévez A and Linares-Barranco A (2015). Inter-spikes-intervals exponential and gamma distributions study of neuron firing rate for SVITE motor control model on FPGA, Neurocomputing, 149:PB, (496-504), Online publication date: 3-Feb-2015.
  28. Cassidy A, Alvarez-Icaza R, Akopyan F, Sawada J, Arthur J, Merolla P, Datta P, Tallada M, Taba B, Andreopoulos A, Amir A, Esser S, Kusnitz J, Appuswamy R, Haymes C, Brezzo B, Moussalli R, Bellofatto R, Baks C, Mastro M, Schleupen K, Cox C, Inoue K, Millman S, Imam N, McQuinn E, Nakamura Y, Vo I, Guo C, Nguyen D, Lekuch S, Asaad S, Friedman D, Jackson B, Flickner M, Risk W, Manohar R and Modha D Real-time scalable cortical computing at 46 giga-synaptic OPS/watt with ~100× speedup in time-to-solution and ~100,000× reduction in energy-to-solution Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, (27-38)
  29. Guo D and Zhang Y (2014). Li-function activated ZNN with finite-time convergence applied to redundant-manipulator kinematic control via time-varying Jacobian matrix pseudoinversion, Applied Soft Computing, 24:C, (158-168), Online publication date: 1-Nov-2014.
  30. ACM
    Shi W, Wen Y, Liu Z, Zhao X, Boumber D, Vilalta R and Xu L Fault resilient physical neural networks on a single chip Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, (1-10)
  31. Zhang Y, Li W, Guo D and Ke Z (2013). Different Zhang functions leading to different ZNN models illustrated via time-varying matrix square roots finding, Expert Systems with Applications: An International Journal, 40:11, (4393-4403), Online publication date: 1-Sep-2013.
  32. ACM
    Belhadj B, Joubert A, Li Z, Héliot R and Temam O (2013). Continuous real-world inputs can open up alternative accelerator designs, ACM SIGARCH Computer Architecture News, 41:3, (1-12), Online publication date: 26-Jun-2013.
  33. ACM
    Belhadj B, Joubert A, Li Z, Héliot R and Temam O Continuous real-world inputs can open up alternative accelerator designs Proceedings of the 40th Annual International Symposium on Computer Architecture, (1-12)
  34. Chen K (2013). Recurrent implicit dynamics for online matrix inversion, Applied Mathematics and Computation, 219:20, (10218-10224), Online publication date: 1-Jun-2013.
  35. Cheung K, Schultz S and Luk W A large-scale spiking neural network accelerator for FPGA systems Proceedings of the 22nd international conference on Artificial Neural Networks and Machine Learning - Volume Part I, (113-120)
  36. Guo D, Yi C and Zhang Y (2011). Letters, Neurocomputing, 74:17, (3708-3712), Online publication date: 1-Oct-2011.
  37. Kogler J, Humenberger M and Sulzbachner C Event-based stereo matching approaches for frameless address event stereo data Proceedings of the 7th international conference on Advances in visual computing - Volume Part I, (674-685)
  38. Kawaguchi M, Jimbo T and Ishii N Dynamic learning of neural network by analog electronic circuits Proceedings of the 15th international conference on Knowledge-based and intelligent information and engineering systems - Volume Part IV, (73-79)
  39. ACM
    Modha D, Ananthanarayanan R, Esser S, Ndirango A, Sherbondy A and Singh R (2011). Cognitive computing, Communications of the ACM, 54:8, (62-71), Online publication date: 1-Aug-2011.
  40. Yi C, Chen Y and Lu Z (2011). Improved gradient-based neural networks for online solution of Lyapunov matrix equation, Information Processing Letters, 111:16, (780-786), Online publication date: 1-Aug-2011.
  41. Chabi D, Zhao W, Querlioz D and Klein J Robust neural logic block (NLB) based on memristor crossbar array Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, (137-143)
  42. Zhang Y, Ke Z, Li Z and Guo D Comparison on continuous-time zhang dynamics and Newton-Raphson iteration for online solution of nonlinear equations Proceedings of the 8th international conference on Advances in neural networks - Volume Part I, (393-402)
  43. Li Z and Zhang Y Time-varying quadratic programming by zhang neural network equipped with a time-varying design parameter r(t) Proceedings of the 8th international conference on Advances in neural networks - Volume Part I, (101-108)
  44. Zhang Y, Ke Z, Li Z and Guo D Comparison on Continuous-Time Zhang Dynamics and Newton-Raphson Iteration for Online Solution of Nonlinear Equations 8th International Symposium on Advances in Neural Networks --- ISNN 2011 - Volume 6675, (393-402)
  45. Li Z and Zhang Y Time-Varying Quadratic Programming by Zhang Neural Network Equipped with a Time-Varying Design Parameter γt 8th International Symposium on Advances in Neural Networks --- ISNN 2011 - Volume 6675, (101-108)
  46. Millner S, Grübl A, Meier K, Schemmel J and Schwartz M A VLSI implementation of the adaptive exponential integrate-and-fire neuron model Proceedings of the 23rd International Conference on Neural Information Processing Systems - Volume 2, (1642-1650)
  47. Lam P, Leung C, Sum J and Constantinides A Lagrange programming neural networks for compressive sampling Proceedings of the 17th international conference on Neural information processing: models and applications - Volume Part II, (177-184)
  48. Li Z and Zhang Y (2010). Improved Zhang neural network model and its solution of time-varying generalized linear matrix equations, Expert Systems with Applications: An International Journal, 37:10, (7213-7218), Online publication date: 1-Oct-2010.
  49. ACM
    Sinha S, Suh J, Bakkaloglu B and Cao Y Workload-aware neuromorphic design of low-power supply voltage controller Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, (241-246)
  50. Długosz R, Talaśka T, Pedrycz W and Wojtyna R (2010). Realization of the conscience mechanism in CMOS implementation of winner-takes-all self-organizing neural networks, IEEE Transactions on Neural Networks, 21:6, (961-971), Online publication date: 1-Jun-2010.
  51. Odame K and Hasler P (2010). Nonlinear circuit analysis via perturbation methods and hardware prototyping, VLSI Design, 2010, (1-8), Online publication date: 1-Jan-2010.
  52. Marr B, George J, Degnan B, Anderson D and Hasler P (2010). Error immune logic for low-power probabilistic computing, VLSI Design, 2010, (1-9), Online publication date: 1-Jan-2010.
  53. Zhang Y, Xu P and Tan N Solution of nonlinear equations by continuous- and discrete-time Zhang dynamics and more importantly their links to Newton iteration Proceedings of the 7th international conference on Information, communications and signal processing, (29-33)
  54. Długosz R and Iniewski K (2009). Programmable Switched Capacitor Finite Impulse Response Filter with Circular Memory Implemented in CMOS 0.18 μm Technology, Journal of Signal Processing Systems, 56:2-3, (295-306), Online publication date: 1-Sep-2009.
  55. Zhang Y, Ma W, Li X, Tan H and Chen K (2009). MATLAB Simulink modeling and simulation of LVI-based primal-dual neural network for solving linear and quadratic programs, Neurocomputing, 72:7-9, (1679-1687), Online publication date: 1-Mar-2009.
  56. Mathai N, Zourntos T and Kundur D (2009). Vector field driven design for lightweight signal processing and control schemes for autonomous robotic navigation, EURASIP Journal on Advances in Signal Processing, 2009, (1-9), Online publication date: 1-Jan-2009.
  57. Bartolozzi C and Indiveri G (2009). Global scaling of synaptic efficacy, Neurocomputing, 72:4-6, (726-731), Online publication date: 1-Jan-2009.
  58. St. Amant R, Jimenez D and Burger D Low-power, high-performance analog neural branch prediction Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture, (447-458)
  59. Zhang Y, Li Z, Yi C and Chen K Zhang Neural Network Versus Gradient Neural Network for Online Time-Varying Quadratic Function Minimization Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence, (807-814)
  60. Chen K, Yue S and Zhang Y MATLAB Simulation and Comparison of Zhang Neural Network and Gradient Neural Network for Online Solution of Linear Time-Varying Matrix Equation AXB - C = 0 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence, (68-75)
  61. Kawaguchi M, Jimbo T and Ishii N Analog VLSI Layout Design and the Circuit Board Manufacturing of Advanced Image Processing for Artificial Vision Model Proceedings of the 12th international conference on Knowledge-Based Intelligent Information and Engineering Systems, Part II, (895-902)
  62. Mattiussi C, Marbach D, Dürr P and Floreano D (2008). The Age of Analog Networks, AI Magazine, 29:3, (63-76), Online publication date: 1-Sep-2008.
  63. Smith L Artificial general intelligence Proceedings of the 2008 conference on Artificial General Intelligence 2008: Proceedings of the First AGI Conference, (429-433)
  64. Kong J, Sung D, Hyun H and Shin J A 160×120 Edge Detection Vision Chip for Neuromorphic Systems Using Logarithmic Active Pixel Sensor with Low Power Dissipation Neural Information Processing, (97-106)
  65. Zhang Y, Fan Z and Li Z Zhang neural network for online solution of time-varying sylvester equation Proceedings of the 2nd international conference on Advances in computation and intelligence, (276-285)
  66. Eickhoff R, Kaulmann T and Rückert U Impact of shrinking technologies on the activation function of neurons Proceedings of the 17th international conference on Artificial neural networks, (501-510)
  67. Kong J, Kim S, Shin J and Lee M An artificial retina chip using switch-selective resistive network for intelligent sensor systems Proceedings of the 2006 international conference on Computational Intelligence and Bioinformatics - Volume Part III, (702-710)
  68. Nayar S (2006). Computational Cameras, Computer, 39:8, (30-38), Online publication date: 1-Aug-2006.
  69. Kier R, Ames J, Beer R and Harrison R (2006). Design and Implementation of Multipattern Generators in Analog VLSI, IEEE Transactions on Neural Networks, 17:4, (1025-1038), Online publication date: 1-Jul-2006.
  70. ACM
    Mills J, Parker M, Himebaugh B, Shue C, Kopecky B and Weilemann C "Empty space" computes Proceedings of the 3rd conference on Computing frontiers, (115-126)
  71. Piechocki R, Soler-Garrido J, McNamara D and McGeehan J (2006). Analogue MIMO detection, EURASIP Journal on Advances in Signal Processing, 2006, (139-139), Online publication date: 1-Jan-2006.
  72. Gensolen F, Cathebras G, Martin L and Robert M An image sensor with global motion estimation for micro camera module Proceedings of the 7th international conference on Advanced Concepts for Intelligent Vision Systems, (713-721)
  73. Robinson W and Wills D (2005). Efficiency Analysis for a Mixed-Signal Focal Plane Processing Architecture, Journal of VLSI Signal Processing Systems, 41:1, (65-80), Online publication date: 1-Aug-2005.
  74. Legenstein R and Maass W (2005). Wire length as a circuit complexity measure, Journal of Computer and System Sciences, 70:1, (53-72), Online publication date: 1-Feb-2005.
  75. Barbaro M and Raffo L (2005). A low-power integrated smart sensor with on-chip real-time image processing capabilities, EURASIP Journal on Advances in Signal Processing, 2005, (1062-1070), Online publication date: 1-Jan-2005.
  76. Häfliger P and Aasebø E (2004). A Rank Encoder, Analog Integrated Circuits and Signal Processing, 40:1, (39-51), Online publication date: 1-Jul-2004.
  77. Watson B, Shoop B, Ressler E and Das P (2004). Analog-to-digital conversion using single-layer integrate-and-fire networks with inhibitory connections, EURASIP Journal on Advances in Signal Processing, 2004, (2066-2075), Online publication date: 1-Jan-2004.
  78. Gallagher J and Vigraham S Active control of thermoacoustic instability in a model combustor with neuromorphic evolvable hardware Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI, (431-441)
  79. Kawaguchi M, Kondo K, Jimbo T and Umeno M Direction selective two-dimensional analog circuits using biomedical vision model Proceedings of the 2003 joint international conference on Artificial neural networks and neural information processing, (729-736)
  80. Ozalevli E and Higgins C Multi-chip implementation of a biomimetic VLSI vision sensor based on the Adelson-Bergen algorithm Proceedings of the 2003 joint international conference on Artificial neural networks and neural information processing, (433-440)
  81. Ray T An evolutionary approach to synthetic biology Advances in evolutionary computing, (479-517)
  82. Hasler P, Bandyopadhyay A and Anderson D (2003). High fill-factor imagers for neuromorphic processing enabled by floating-gate circuits, EURASIP Journal on Advances in Signal Processing, 2003, (676-689), Online publication date: 1-Jan-2003.
  83. Leong M, Jin C and Leong P (2003). An FPGA-based electronic cochlea, EURASIP Journal on Advances in Signal Processing, 2003, (629-638), Online publication date: 1-Jan-2003.
  84. Liu S (2003). Analog VLSI circuits for short-term dynamic synapses, EURASIP Journal on Advances in Signal Processing, 2003, (620-628), Online publication date: 1-Jan-2003.
  85. Cheely M and Horiuchi T (2003). Analog VLSI models of range-tuned neurons in the bat echolocation system, EURASIP Journal on Advances in Signal Processing, 2003, (649-658), Online publication date: 1-Jan-2003.
  86. Valle M (2002). Analog VLSI Implementation of Artificial Neural Networks with Supervised On-Chip Learning, Analog Integrated Circuits and Signal Processing, 33:3, (263-287), Online publication date: 21-Dec-2002.
  87. Floreano D, Schoeni N, Caprari G and Blynel J Evolutionary bits'n'spikes Proceedings of the eighth international conference on Artificial life, (335-344)
  88. Muselli M and Liberati D (2002). Binary Rule Generation via Hamming Clustering, IEEE Transactions on Knowledge and Data Engineering, 14:6, (1258-1268), Online publication date: 1-Nov-2002.
  89. Elliott T and Kramer J (2002). Coupling an a VLSI Neuromorphic vision chip to a neurotrophic model of synaptic plasticity, Neural Computation, 14:10, (2353-2370), Online publication date: 1-Oct-2002.
  90. Legenstein R and Maass W (2002). Neural circuits for pattern recognition with small total wire length, Theoretical Computer Science, 287:1, (239-249), Online publication date: 25-Sep-2002.
  91. Schmitt M (2002). Neural networks with local receptive fields and superlinear VC Dimension, Neural Computation, 14:4, (919-956), Online publication date: 1-Apr-2002.
  92. Ben-Hur A, Siegelmann H and Fishman S (2002). A Theory of Complexity for Continuous Time Systems, Journal of Complexity, 18:1, (51-86), Online publication date: 1-Mar-2002.
  93. Choi B (2002). Applying Learning by Examples for Digital Design Automation, Applied Intelligence, 16:3, (205-221), Online publication date: 27-Feb-2002.
  94. Horiuchi T and Hynna K (2001). A VLSI-Based Model of Azimuthal Echolocation in the Big Brown Bat, Autonomous Robots, 11:3, (241-247), Online publication date: 1-Nov-2001.
  95. Landolt O and Mitros A (2001). Visual Sensor with Resolution Enhancement by Mechanical Vibrations, Autonomous Robots, 11:3, (233-239), Online publication date: 1-Nov-2001.
  96. Brown B and Card H (2001). Stochastic Neural Computation II, IEEE Transactions on Computers, 50:9, (906-920), Online publication date: 1-Sep-2001.
  97. Maass W (2001). On the relevance of time in neural computation and learning, Theoretical Computer Science, 261:1, (157-178), Online publication date: 20-Jun-2001.
  98. Schaik A (2001). An Analog VLSI Model of Periodicity Extraction in the Human Auditory System, Analog Integrated Circuits and Signal Processing, 26:2, (157-177), Online publication date: 1-Feb-2001.
  99. Harrison R and Koch C (2000). A Silicon Implementation of the Fly's Optomotor Control System, Neural Computation, 12:10, (2291-2304), Online publication date: 1-Oct-2000.
  100. Cymbalyuk G, Patel G, Calabrese R, Deweerth S and Cohen A (2000). Modeling Alternation to Synchrony with Inhibitory Coupling: A Neuromorphic VLSI Approach, Neural Computation, 12:10, (2259-2278), Online publication date: 1-Oct-2000.
  101. Fusi S, Annunziato M, Badoni D, Salamon A and Amit D (2000). Spike-Driven Synaptic Plasticity: Theory, Simulation, VLSI Implementation, Neural Computation, 12:10, (2227-2258), Online publication date: 1-Oct-2000.
  102. Maass W and Sontag E (2000). Neural Systems as Nonlinear Filters, Neural Computation, 12:8, (1743-1772), Online publication date: 1-Aug-2000.
  103. Rasche C and Douglas R (2000). An Improved Silicon Neuron, Analog Integrated Circuits and Signal Processing, 23:3, (227-236), Online publication date: 1-Jun-2000.
  104. Wilson D (2000). An Analog VLSI, Scale Invariant Method for Edge Detection, Analog Integrated Circuits and Signal Processing, 23:3, (211-226), Online publication date: 1-Jun-2000.
  105. Opris I (2000). The Concept of Operational Rank Extractor, Analog Integrated Circuits and Signal Processing, 23:3, (189-198), Online publication date: 1-Jun-2000.
  106. Harris J, Pu C and Principe J (2000). A Monaural Cue Sound Localizer, Analog Integrated Circuits and Signal Processing, 23:2, (163-172), Online publication date: 1-May-2000.
  107. ACM
    Soeleman H and Roy K Digital CMOS logic operation in the sub-threshold region Proceedings of the 10th Great Lakes symposium on VLSI, (107-112)
  108. Marienborg J and Lande T (2000). Analog State Transmission with Digital Hardware, Analog Integrated Circuits and Signal Processing, 22:1, (91-101), Online publication date: 1-Jan-2000.
  109. Etienne-Cummings R (1999). Intelligent Robot Vision Sensors in VLSI, Autonomous Robots, 7:3, (225-237), Online publication date: 1-Nov-1999.
  110. Harrison R and Koch C (1999). A Robust Analog VLSI Motion Sensor Based on the Visual System of the Fly, Autonomous Robots, 7:3, (211-224), Online publication date: 1-Nov-1999.
  111. Morris T and De Weerth S (1999). A Smart-Scanning Analog VLSI Visual-Attention System, Analog Integrated Circuits and Signal Processing, 21:1, (67-78), Online publication date: 1-Oct-1999.
  112. Salama K, El-Tawil A, Soliman A and Elwan H (1999). CMOS Programmable Imager Implementing Pre-Processing Operations, Analog Integrated Circuits and Signal Processing, 19:3, (279-293), Online publication date: 1-Jun-1999.
  113. Furth P (1999). A Subthreshold CMOS Continuous-Time Bandpass Filter with Large-Signal Stability, Analog Integrated Circuits and Signal Processing, 19:2, (197-205), Online publication date: 1-May-1999.
  114. Bo G, Caviglia D, Chiblè H and Valle M An Experimental Analog CMOS Self-Learning Chip Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
  115. Ohtani M, Asai T, Yonezu H and Ohshima N Analog Velocity Sensing Circuits Based on Bio-Inspired Correlation Neural Networks Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
  116. Cosp J, Madrenas J and Cabestany J A VLSI Implementation of a Neuromorphic Network for Scene Segmentation Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
  117. Boahen K Retinomorphic Chips that see Quadrupple Images Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
  118. Vittoz E Present and Future Industrial Applications of Bio-Inspired VLSI Systems Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
  119. Asai T, Ohtani M, Yonezu H and Ohshima N Analog MOS Circuit Systems Performing the Visual Tracking with Bio-Inspired Simple Networks Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
  120. Indiveri G, Whatley A and Kramer J A Reconfigurable Neuromorphic VLSI Multi-Chip System Applied to Visual Motion Computation Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
  121. Körner T, Sitte J and Rückert U An Analog Local Cluster Neural Net for a 3V Supply Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
  122. Morris T, Fletcher E, Afghahi C, Issa S, Connolly K and Korta J A Column-based Processing Array for High-speed Digital Image Processing Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
  123. Boahen K A Throughput-On-Demand Address-Event Transmitter for Neuromorphic Chips Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
  124. Wilson C, Morris T and DeWeerth S A Two-Dimensional, Object-Based Analog VLSI Visual Attention System Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
  125. Hasler P, Minch B and Diorio C Adaptive Circuits Using pFET Floating-Gate Devices Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
  126. Lehmann T and Woodburn R (1999). Biologically-Inspired On-Chip Learning in Pulsed Neural Networks, Analog Integrated Circuits and Signal Processing, 18:2-3, (117-131), Online publication date: 1-Feb-1999.
  127. Harris J, Juan J and Principe J (1999). Analog Hardware Implementation of Continuous-Time Adaptive Filter Structures, Analog Integrated Circuits and Signal Processing, 18:2-3, (209-227), Online publication date: 1-Feb-1999.
  128. Alhalabi, B, Bayoumi M and Maaz B (1999). Mixed-Mode Programmable and Scalable Architecture for On-Chip Learning, Analog Integrated Circuits and Signal Processing, 18:2-3, (175-194), Online publication date: 1-Feb-1999.
  129. Bo G, Caviglia D, Chiblè H and Valle M (1999). A Circuit Architecture for Analog On-Chip Back Propagation Learning with Local Learning Rate Adaptation, Analog Integrated Circuits and Signal Processing, 18:2-3, (163-173), Online publication date: 1-Feb-1999.
  130. ACM
    Dhanwada N, Nunez-Aldana A and Vemuri R Hierarchical constraint transformation using directed interval search for analog system synthesis Proceedings of the conference on Design, automation and test in Europe, (70-es)
  131. Næss S and Lande T (1999). Low-Power CMOS Analog Signal Processing Using Spatial Mapping, Analog Integrated Circuits and Signal Processing, 18:1, (79-88), Online publication date: 1-Jan-1999.
  132. Sarpeshkar R (1998). Analog versus digital, Neural Computation, 10:7, (1601-1638), Online publication date: 1-Oct-1998.
  133. Zaremba M and Porada E (1998). A Reactive Neuromorphic Controller for Local Robot Navigation, Journal of Intelligent and Robotic Systems, 23:2-4, (129-146), Online publication date: 1-Oct-1998.
  134. Sarpeshkar R, Lyon R and Mead C (1998). A Low-Power Wide-Dynamic-Range Analog VLSI Cochlea, Analog Integrated Circuits and Signal Processing, 16:3, (245-274), Online publication date: 1-Aug-1998.
  135. Ahmed M, Haddara H and Ragaie H (1998). Hierarchical Analog Behavioral Modeling of Artificial Neural Networks, Analog Integrated Circuits and Signal Processing, 16:2, (121-139), Online publication date: 1-Jun-1998.
  136. Card H, McNeill D and Schneider C (1998). Analog VLSI Circuits for Competitive Learning Networks, Analog Integrated Circuits and Signal Processing, 15:3, (291-314), Online publication date: 1-Mar-1998.
  137. Yagi T, Kobayashi H, Matsumoto T and Tanaka K (1998). Vision chip architecture with light adaptation mechanism, Artificial Life and Robotics, 2:1, (12-18), Online publication date: 1-Mar-1998.
  138. Tsai R, Sheu B and Berger T (1998). A Vlsi Neural Network Processor Based on Hippocampal Model, Analog Integrated Circuits and Signal Processing, 15:2, (201-213), Online publication date: 1-Feb-1998.
  139. Yakovleff A and Moini A (1998). Motion Perception Using Analog VLSI, Analog Integrated Circuits and Signal Processing, 15:2, (183-200), Online publication date: 1-Feb-1998.
  140. Hall L, Clements M, Liu W and Bilbro G Clock Distribution Using Cooperative Ring Oscillators Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
  141. Hinck T and Hubbard A Image Edge Enhancement, Dynamic Compression and Noise Suppression using Analog Circuit Processing Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
  142. Sarpeshkar R, Lyon R and Mead C (1997). A Low-Power Wide-Linear-Range Transconductance Amplifier, Analog Integrated Circuits and Signal Processing, 13:1-2, (123-151), Online publication date: 1-May-1997.
  143. Morris T and DeWeerth S (1997). Analog VLSI Excitatory Feedback Circuits for AttentionalShifts and Tracking, Analog Integrated Circuits and Signal Processing, 13:1-2, (79-91), Online publication date: 1-May-1997.
  144. Cauwenberghs G (1997). Analog VLSI Stochastic Perturbative Learning Architectures, Analog Integrated Circuits and Signal Processing, 13:1-2, (195-209), Online publication date: 1-May-1997.
  145. Westerman W, Northmore D and Elias J (1997). Neuromorphic Synapses for Artificial Dendrites, Analog Integrated Circuits and Signal Processing, 13:1-2, (167-184), Online publication date: 1-May-1997.
  146. Diorio C, Hasler P, Minch B and Mead C (1997). A Complementary Pair of Four-Terminal Silicon Synapses, Analog Integrated Circuits and Signal Processing, 13:1-2, (153-166), Online publication date: 1-May-1997.
  147. Pelayo F, Ros E, Arreguit X and Prieto A (1997). VLSI Implementation of a Neural Model Using Spikes, Analog Integrated Circuits and Signal Processing, 13:1-2, (111-121), Online publication date: 1-May-1997.
  148. Boahen K (1997). The Retinomorphic Approach, Analog Integrated Circuits and Signal Processing, 13:1-2, (53-68), Online publication date: 1-May-1997.
  149. Pouliquen P, Andreou A and Strohbehn K (1997). Winner-Takes-All Associative Memory, Analog Integrated Circuits and Signal Processing, 13:1-2, (211-222), Online publication date: 1-May-1997.
  150. Indiveri G (1997). Winner-Take-All Networks with Lateral Excitation, Analog Integrated Circuits and Signal Processing, 13:1-2, (185-193), Online publication date: 1-May-1997.
  151. Chiang Y and Harris J (1997). An Analog Integrated Circuit for Continuous-Time Gain andOffset Calibration of Sensor Arrays, Analog Integrated Circuits and Signal Processing, 12:3, (231-238), Online publication date: 1-Apr-1997.
  152. Wang C and Fan C (1997). Digital Design of Discrete Exponential Bidirectional Associative Memory, Journal of VLSI Signal Processing Systems, 15:3, (247-257), Online publication date: 1-Mar-1997.
  153. Wang C and Fan C (1997). Digital Design of Discrete Exponential BidirectionalAssociative Memory, Journal of VLSI Signal Processing Systems, 15:3, (247-257), Online publication date: 1-Mar-1997.
  154. Gupta B, Goodman R, Jiang F, Tai Y, Tung S and Ho C (1996). Analog VLSI System for Active Drag Reduction, IEEE Micro, 16:5, (53-59), Online publication date: 1-Oct-1996.
  155. Landolt O (1996). Analog Nonlinear Function Synthesis, IEEE Micro, 16:5, (50-52), Online publication date: 1-Oct-1996.
  156. Ahmed M, Ragaie H and Haddara H A hierarchical approach to analog behavioral modeling of neural networks using HDL-A Proceedings of the conference on European design automation, (2-9)
  157. Thompson A Silicon evolution Proceedings of the 1st annual conference on genetic programming, (444-452)
  158. Drabik T, Titus A, Handschy M, Banas D, Gaalema S and Ward D (1995). 2D Silicon/Ferroelectric Liquid Crystal Spatial Light Modulators, IEEE Micro, 15:4, (67-76), Online publication date: 1-Aug-1995.
  159. Vidal-Verdú F and Rodríguez-Vázquez A (1995). Using Building Blocks to Design Analog Neuro-Fuzzy Controllers, IEEE Micro, 15:4, (49-57), Online publication date: 1-Aug-1995.
  160. Vuillemin J (1994). On Circuits and Numbers, IEEE Transactions on Computers, 43:8, (868-879), Online publication date: 1-Aug-1994.
  161. ACM
    Kirk D and Barr A Implementing rotation matrix constraints in Analog VLSI Proceedings of the 20th annual conference on Computer graphics and interactive techniques, (45-52)
  162. Porter W and Zheng X (1993). A Nonbinary Neural Network Design, IEEE Transactions on Computers, 42:9, (1132-1135), Online publication date: 1-Sep-1993.
  163. ACM
    Impagliazzo R, Paturi R and Saks M Size-depth trade-offs for threshold circuits Proceedings of the twenty-fifth annual ACM symposium on Theory of Computing, (541-550)
  164. Heileman G, Georgiopoulos M and Roome W (1992). A General Framework for Concurrent Simulation on Neural Network Models, IEEE Transactions on Software Engineering, 18:7, (551-562), Online publication date: 1-Jul-1992.
  165. Chapman G, Parameswaran M and Syrzycki M (1992). Wafer-Scale Transducer Arrays, Computer, 25:4, (50-56), Online publication date: 1-Apr-1992.
  166. Reyneri L and Filippi E (1991). An Analysis on the Performance of Silicon Implementations of Backpropagation Algorithms for Artificial Neural Networks, IEEE Transactions on Computers, 40:12, (1380-1389), Online publication date: 1-Dec-1991.
  167. Suter D (1991). Constraint Networks in Vision, IEEE Transactions on Computers, 40:12, (1359-1367), Online publication date: 1-Dec-1991.
  168. Tagliarini G, Christ J and Page E (1991). Optimization Using Neural Networks, IEEE Transactions on Computers, 40:12, (1347-1358), Online publication date: 1-Dec-1991.
  169. Lyon R Analog implementations of auditory models Proceedings of the workshop on Speech and Natural Language, (212-216)
  170. ACM
    Rucinski A, Hludik F and Pokoski J A partnership in domestication of rapid prototyping technologies Proceedings of the twenty-first SIGCSE technical symposium on Computer science education, (1-5)
  171. ACM
    Rucinski A, Hludik F and Pokoski J (1990). A partnership in domestication of rapid prototyping technologies, ACM SIGCSE Bulletin, 22:1, (1-5), Online publication date: 1-Feb-1990.
  172. Hutchinson J, Koch C, Luo J and Mead C (1988). Computing Motion Using Analog and Binary Resistive Networks, Computer, 21:3, (52-63), Online publication date: 1-Mar-1988.
  173. Huayaney F and Chicca E A VLSI implementation of a calcium-based plasticity learning model 2016 IEEE International Symposium on Circuits and Systems (ISCAS), (373-376)
Contributors
  • California Institute of Technology

Recommendations

Reviews

Peter C. Patton

This book is a worthy sequel to Introduction to VLSI systems by Mead and Conway [1]. Mead is not only an outstanding researcher, he is also a consummate teacher willing to take readers ignorant of electronics and lead them clearly but crisply to his own research frontiers. After a brief introduction, chapter 2 explains the basic elements of electronics: resistance, conductance, capacitance, charge, thermal motion, and the Boltzmann distribution. Chapter 3 explains transistor physics using an analogy employing “Boltzmann hydraulics”; it closes with the circuit properties of semiconductors and the basic circuit of the current mirror, the fundamental building block of CMOS analog circuits. Chapter 4 explains the microanatomy of the biological neuron and describes its function in electrochemical terms with reference to an equivalent (electric) circuit. Chapter 5 returns to analog electronics to develop the circuit of a transconductance amplifier that computes the tanh function as the CMOS analog to the gain characteristic of the neural axon. Chapter 6 supplements the reader's analog circuit repertoire with circuits to perform addition, subtraction, absolute value, and multiplication, and finishes with exponentiation, logarithms, and the square root. Having developed building block circuit elements that can emulate the functions of natural neurons, Mead turns to the emulation of dendritic functions in chapter 7. He shows how to aggregate signals within the much lower fan-in and fan-out capabilities of electronic circuits as opposed to biological neural networks. The chapter ends with an analysis of the hexagonal two-dimensional resistive network that will be the basis of the artificial retina developed in chapter 15. Chapter 8 introduces the time-varying qualities of analog circuits starting with the RC time constant, complex numbers, and transfer response to input functions. The next three chapters build on this basis to develop CMOS circuits for differentiation and integration and for the analysis of both small and large signal behavior. Chapter 12 completes the expository part of the book with the development of an artificial (silicon) neuron. The final four chapters of the book are devoted to examples of work done by Mead and his graduate students. Chapter 13 describes the SeeHear chip, which transforms visual events into acoustic events. Chapter 14 describes an optical motion sensor and chapter 15 presents the silicon retina. Chapter 16 describes the silicon cochlea. The book has four appendices. The first is a description of CMOS fabrication taken from Mead and Conway [1], the second is entitled “Fine points of transistor physics,” the third presents more detail on the resistive networks that are basic to Mead's approach to silicon emulation of neural network functionality, and the fourth is entitled “Complexity in neural systems.” I recommend this book for all computer scientists and engineers. Lack of prior training or experience in analog circuits or VLSI technology is no excuse to avoid it. The book is self-contained and starts by assuming only that you can read. Everything else is supplied: basic physics by analogy, fascination, motivation to continue, and applications. The book is reasonably priced but you probably will not find it (yet) in the “computers” section at B. Dalton.

Access critical reviews of Computing literature here

Become a reviewer for Computing Reviews.