skip to main content
Skip header Section
Computer Structures: Principles and ExamplesDecember 1982
Publisher:
  • McGraw-Hill, Inc.
  • Professional Book Group 11 West 19th Street New York, NY
  • United States
ISBN:978-0-07-057302-4
Published:01 December 1982
Pages:
926
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. Nemati H, Buiras P, Lindner A, Guanciale R and Jacobs S Validation of Abstract Side-Channel Models for Computer Architectures Computer Aided Verification, (225-248)
  2. ACM
    Lim J and Reps T (2013). TSL, ACM Transactions on Programming Languages and Systems, 35:1, (1-59), Online publication date: 1-Apr-2013.
  3. Laplante Jr. P and Mazor S (2006). Anecdotes, IEEE Annals of the History of Computing, 28:2, (70-76), Online publication date: 1-Apr-2006.
  4. ACM
    McCorkle E Programmable bus/memory controllers in modern computer architecture Proceedings of the 43rd annual Southeast regional conference - Volume 1, (194-199)
  5. Robertson L (2005). Anecdotes, IEEE Annals of the History of Computing, 27:2, (82-84), Online publication date: 1-Apr-2005.
  6. Galler B, Rosen S and Reilly E Addressing Encyclopedia of Computer Science, (20-26)
  7. Frailey D Computer architecture Encyclopedia of Computer Science, (304-319)
  8. Randell B, Wilkes M and Ceruzzi P Digital computers, history of Encyclopedia of Computer Science, (545-570)
  9. Waxman R and Israel M Hardware description languages Encyclopedia of Computer Science, (768-773)
  10. Rosin R Von Neumann machine Encyclopedia of Computer Science, (1841-1842)
  11. ACM
    Zalamea J, Llosa J, Ayguadé E and Valero M Two-level hierarchical register file organization for VLIW processors Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, (137-146)
  12. Lee J (1998). Biographies, IEEE Annals of the History of Computing, 20:2, (59-76), Online publication date: 1-Apr-1998.
  13. ACM
    Goodman J Using cache memory to reduce processor-memory traffic 25 years of the international symposia on Computer architecture (selected papers), (255-262)
  14. ACM
    Hein C, Pridgen J and Kline W RASSP virtual prototyping of DSP systems Proceedings of the 34th annual Design Automation Conference, (492-497)
  15. Black D, Smith R, Sears S and Dean R FLIPC Proceedings of the 1996 annual conference on USENIX Annual Technical Conference, (19-19)
  16. ACM
    Siewiorek D Tradition and change Proceedings of the 1995 workshop on Computer architecture education, (1-es)
  17. Ranade A, Taylor V and Messerschmitt D (1995). SPAR, IEEE Transactions on Computers, 44:4, (531-545), Online publication date: 1-Apr-1995.
  18. ACM
    Kotz D and Crow P The expected lifetime of “single-address-space” operating systems Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, (161-170)
  19. ACM
    Kotz D and Crow P (1994). The expected lifetime of “single-address-space” operating systems, ACM SIGMETRICS Performance Evaluation Review, 22:1, (161-170), Online publication date: 1-May-1994.
  20. ACM
    Vogel J and Holmer B Analysis of the conditional skip instructions of the HP precision architecture Proceedings of the 27th annual international symposium on Microarchitecture, (207-216)
  21. ACM
    Vemuri R, Mamtora P, Sinha P, Kumar N, Roy J and Vutukuru R Experiences in functional validation of a high level synthesis system Proceedings of the 30th international Design Automation Conference, (194-201)
  22. Stodolsky D, Chen J and Bershad B Fast interrupt priority management in operating system kernels USENIX Symposium on USENIX Microkernels and Other Kernel Architectures Symposium - Volume 4, (9-9)
  23. Salinas M, Johnson B and Aylor J (1993). Implementation-Independent Model of an Instruction Set Architecture in VHDL, IEEE Design & Test, 10:3, (42-54), Online publication date: 1-Jul-1993.
  24. Mahgoub I and Elmagarmid A (1992). Performance Analysis of a Generalized Class of M-Level Hierarchical Multiprocessor Systems, IEEE Transactions on Parallel and Distributed Systems, 3:2, (129-138), Online publication date: 1-Mar-1992.
  25. ACM
    Esponda M and Rojas R (1992). A graphical comparison of RISC processors, ACM SIGARCH Computer Architecture News, 20:4, (2-8), Online publication date: 1-Sep-1992.
  26. ACM
    Akella J and Siewiorek D Modeling and measurement of the impact of Input/Output on system performance Proceedings of the 18th annual international symposium on Computer architecture, (390-399)
  27. ACM
    Akella J and Siewiorek D (1991). Modeling and measurement of the impact of Input/Output on system performance, ACM SIGARCH Computer Architecture News, 19:3, (390-399), Online publication date: 1-May-1991.
  28. ACM
    Nemirovsky M, Brewer F and Wood R DISC Proceedings of the 24th annual international symposium on Microarchitecture, (163-171)
  29. ACM
    Taylor V, Ranade A and Messerschmitt D Three-dimensional finite-element analyses Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (786-795)
  30. Roos J (1991). Designing a Real-Time Coprocessor for Ada Tasking, IEEE Design & Test, 8:1, (67-79), Online publication date: 1-Jan-1991.
  31. ACM
    Volz R (1989). Virtual nodes and units of distribution for distributed Ada, ACM SIGAda Ada Letters, X:4, (85-96), Online publication date: 1-Mar-1990.
  32. ACM
    Roos J (1989). A real-time support processor for ada tasking, ACM SIGARCH Computer Architecture News, 17:2, (162-171), Online publication date: 1-Apr-1989.
  33. ACM
    Black D, Golub D, Hauth K, Tevanian A and Sanzi R (1988). The mach exception handling facility, ACM SIGPLAN Notices, 24:1, (45-56), Online publication date: 3-Jan-1989.
  34. ACM
    Roos J A real-time support processor for ada tasking Proceedings of the third international conference on Architectural support for programming languages and operating systems, (162-171)
  35. ACM
    Volz R Virtual nodes and units of distribution for distributed Ada Proceedings of the third international workshop on Real-time Ada issues, (85-96)
  36. Smith R (1988). A Historical Overview of Computer Architecture, IEEE Annals of the History of Computing, 10:4, (277-303), Online publication date: 1-Oct-1988.
  37. ACM
    Matloff N, Kowel S and Eldering C Optimul: An optional interconnect for multiprocessor systems Proceedings of the 2nd international conference on Supercomputing, (16-24)
  38. ACM
    Bell G Keynote address: toward a history of (personal) workstations A history of personal workstations, (1-50)
  39. ACM
    Black D, Golub D, Hauth K, Tevanian A and Sanzi R The mach exception handling facility Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging, (45-56)
  40. Wolfe A and Shen P Flexible processors: a promising application-specific processor design approach Proceedings of the 21st annual workshop on Microprogramming and microarchitecture, (30-39)
  41. Chandross J, Jagadish H and Asthana A The trap as a control flow mechanism Proceedings of the 21st annual workshop on Microprogramming and microarchitecture, (50-52)
  42. ACM
    Garcia-Molina H and Rogers L Performance through memory Proceedings of the 1987 ACM SIGMETRICS conference on Measurement and modeling of computer systems, (122-131)
  43. ACM
    Garcia-Molina H and Rogers L (1987). Performance through memory, ACM SIGMETRICS Performance Evaluation Review, 15:1, (122-131), Online publication date: 1-May-1987.
  44. ACM
    Colwell R, Nix R, O'Donnell J, Papworth D and Rodman P A VLIW architecture for a trace scheduling compiler Proceedings of the second international conference on Architectual support for programming languages and operating systems, (180-192)
  45. ACM
    Thacker C and Stewart L Firefly: a multiprocessor workstation Proceedings of the second international conference on Architectual support for programming languages and operating systems, (164-172)
  46. ACM
    Colwell R, Nix R, O'Donnell J, Papworth D and Rodman P (1987). A VLIW architecture for a trace scheduling compiler, ACM SIGARCH Computer Architecture News, 15:5, (180-192), Online publication date: 1-Nov-1987.
  47. ACM
    Thacker C and Stewart L (1987). Firefly: a multiprocessor workstation, ACM SIGARCH Computer Architecture News, 15:5, (164-172), Online publication date: 1-Nov-1987.
  48. ACM
    Colwell R, Nix R, O'Donnell J, Papworth D and Rodman P (1987). A VLIW architecture for a trace scheduling compiler, ACM SIGOPS Operating Systems Review, 21:4, (180-192), Online publication date: 1-Oct-1987.
  49. ACM
    Thacker C and Stewart L (1987). Firefly: a multiprocessor workstation, ACM SIGOPS Operating Systems Review, 21:4, (164-172), Online publication date: 1-Oct-1987.
  50. ACM
    Colwell R, Nix R, O'Donnell J, Papworth D and Rodman P (1987). A VLIW architecture for a trace scheduling compiler, ACM SIGPLAN Notices, 22:10, (180-192), Online publication date: 1-Oct-1987.
  51. ACM
    Thacker C and Stewart L (1987). Firefly: a multiprocessor workstation, ACM SIGPLAN Notices, 22:10, (164-172), Online publication date: 1-Oct-1987.
  52. ACM
    Bell G Toward a history of (personal) workstations Proceedings of the ACM Conference on The history of personal workstations, (1-17)
  53. ACM
    Kung H (1986). Memory requirements for balanced computer architectures, ACM SIGARCH Computer Architecture News, 14:2, (49-54), Online publication date: 1-May-1986.
  54. ACM
    DuBose D, Fotakis D and Tabak D (1986). A microcoded RISC, ACM SIGMICRO Newsletter, 17:4, (124-128), Online publication date: 21-Dec-1986.
  55. ACM
    DuBose D, Fotakis D and Tabak D A microcoded RISC Proceedings of the 19th annual workshop on Microprogramming, (124-128)
  56. ACM
    Langdon G (1986). Self-assessment procedure XVI: a self-assessment procedure dealing with computer organization and logic design, Communications of the ACM, 29:11, (1051-1060), Online publication date: 1-Nov-1986.
  57. Kung H Memory requirements for balanced computer architectures Proceedings of the 13th annual international symposium on Computer architecture, (49-54)
  58. ACM
    Ng K and Lam H MAUFI — a Meta-assembler with a user-friendly interface Proceedings of the 1985 ACM SIGSMALL symposium on Small systems, (57-63)
  59. ACM
    Waguespack L (1985). A structural computer system resource model for teaching computer organization, ACM SIGCSE Bulletin, 17:1, (63-67), Online publication date: 1-Mar-1985.
  60. ACM
    Waguespack L A structural computer system resource model for teaching computer organization Proceedings of the sixteenth SIGCSE technical symposium on Computer science education, (63-67)
  61. ACM
    Rodgers D (1985). Improvements in multiprocessor system design, ACM SIGARCH Computer Architecture News, 13:3, (225-231), Online publication date: 1-Jun-1985.
  62. ACM
    Horst R and Chou T (1985). An architecture for high volume transaction processing, ACM SIGARCH Computer Architecture News, 13:3, (240-245), Online publication date: 1-Jun-1985.
  63. Rodgers D Improvements in multiprocessor system design Proceedings of the 12th annual international symposium on Computer architecture, (225-231)
  64. Horst R and Chou T An architecture for high volume transaction processing Proceedings of the 12th annual international symposium on Computer architecture, (240-245)
  65. ACM
    Wedig R and Rose M (1984). The reduction of branch instruction execution overhead using structured control flow, ACM SIGARCH Computer Architecture News, 12:3, (119-125), Online publication date: 1-Jun-1984.
  66. ACM
    Hill M and Smith A (1984). Experimental evaluation of on-chip microprocessor cache memories, ACM SIGARCH Computer Architecture News, 12:3, (158-166), Online publication date: 1-Jun-1984.
  67. ACM
    Philipson L (1984). VLSI based design principles for MIMD multiprocessor computers with distributed memory management, ACM SIGARCH Computer Architecture News, 12:3, (319-327), Online publication date: 1-Jun-1984.
  68. ACM
    Wedig R and Rose M The reduction of branch instruction execution overhead using structured control flow Proceedings of the 11th annual international symposium on Computer architecture, (119-125)
  69. ACM
    Hill M and Smith A Experimental evaluation of on-chip microprocessor cache memories Proceedings of the 11th annual international symposium on Computer architecture, (158-166)
  70. ACM
    Philipson L VLSI based design principles for MIMD multiprocessor computers with distributed memory management Proceedings of the 11th annual international symposium on Computer architecture, (319-327)
  71. ACM
    Goodman J (1983). Using cache memory to reduce processor-memory traffic, ACM SIGARCH Computer Architecture News, 11:3, (124-131), Online publication date: 30-Jun-1983.
  72. ACM
    Krishnan R, Rajasekar A and Moghe C (1983). An experimental system for Computer Science instruction, ACM SIGARCH Computer Architecture News, 11:3, (222-227), Online publication date: 30-Jun-1983.
  73. ACM
    Goodman J Using cache memory to reduce processor-memory traffic Proceedings of the 10th annual international symposium on Computer architecture, (124-131)
  74. ACM
    Krishnan R, Rajasekar A and Moghe C An experimental system for Computer Science instruction Proceedings of the 10th annual international symposium on Computer architecture, (222-227)
  75. Leinwand S Logical correctness by construction Proceedings of the 19th Design Automation Conference, (825-831)
  76. Snow E and Siewiorek D (1981). Implementation and Performance Evaluation of Computer Families, IEEE Transactions on Computers, 30:6, (443-447), Online publication date: 1-Jun-1981.
  77. ACM
    Bartlett J (1981). A NonStop kernel, ACM SIGOPS Operating Systems Review, 15:5, (22-29), Online publication date: 1-Dec-1981.
  78. ACM
    Bartlett J A NonStop kernel Proceedings of the eighth ACM symposium on Operating systems principles, (22-29)
  79. ACM
    Fuller S, Siewiorek D and Swan R (1973). Computer Modules, ACM SIGARCH Computer Architecture News, 2:4, (231-237), Online publication date: 17-Dec-1973.
  80. ACM
    Fuller S, Siewiorek D and Swan R Computer Modules Proceedings of the 1st annual symposium on Computer architecture, (231-237)
Contributors
  • Carnegie Mellon University
  • Carnegie Mellon University

Recommendations