skip to main content
Skip header Section
Logic Minimization Algorithms for VLSI SynthesisAugust 1984
Publisher:
  • Kluwer Academic Publishers
  • 101 Philip Drive Assinippi Park Norwell, MA
  • United States
ISBN:978-0-89838-164-1
Published:01 August 1984
Pages:
193
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. Damiani M (2023). Partially unate Boolean functions, Discrete Applied Mathematics, 338:C, (278-292), Online publication date: 30-Oct-2023.
  2. Bibilo P (2023). Hardware Implementation of Code Converters Designed to Reduce the Length of Binary Encoded Words, Programming and Computing Software, 49:4, (247-267), Online publication date: 1-Aug-2023.
  3. ACM
    Potvin N, Bersini H and Milojevic D Espresso to the rescue of genetic programming facing exponential complexity Proceedings of the Genetic and Evolutionary Computation Conference Companion, (590-593)
  4. Rozenfeld G, Kalech M and Rokach L (2022). Active-learning-based reconstruction of circuit model, Applied Intelligence, 52:5, (5125-5143), Online publication date: 1-Mar-2022.
  5. Zhou N In Pursuit of an Efficient SAT Encoding for the Hamiltonian Cycle Problem Principles and Practice of Constraint Programming, (585-602)
  6. Echavarria J, Wildermann S, Keszöcze O and Teich J Probabilistic error propagation through approximated boolean networks Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference, (1-6)
  7. Klarner H, Heinitz F, Nee S and Siebert H (2020). Basins of Attraction, Commitment Sets, and Phenotypes of Boolean Networks, IEEE/ACM Transactions on Computational Biology and Bioinformatics, 17:4, (1115-1124), Online publication date: 1-Jul-2020.
  8. Wu Y and Qian W (2020). ALFANS: Multilevel Approximate Logic Synthesis Framework by Approximate Node Simplification, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39:7, (1470-1483), Online publication date: 1-Jul-2020.
  9. Aksoy L and Altun M (2020). Novel Methods for Efficient Realization of Logic Functions Using Switching Lattices, IEEE Transactions on Computers, 69:3, (427-440), Online publication date: 1-Mar-2020.
  10. Machado L and Cortadella J (2019). Support-Reducing Decomposition for FPGA Mapping, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39:1, (213-224), Online publication date: 1-Jan-2020.
  11. ACM
    Wang H and He K (2019). Improving Test and Diagnosis Efficiency through Ensemble Reduction and Learning, ACM Transactions on Design Automation of Electronic Systems, 24:5, (1-26), Online publication date: 19-Oct-2019.
  12. da Silva J, de Souza L and Bernardino H Cartesian Genetic Programming with Guided and Single Active Mutations for Designing Combinational Logic Circuits Machine Learning, Optimization, and Data Science, (396-408)
  13. Bernasconi A, Ciriani V, Trucco G and Villa T (2019). Boolean Minimization of Projected Sums of Products via Boolean Relations, IEEE Transactions on Computers, 68:9, (1269-1282), Online publication date: 1-Sep-2019.
  14. Miller J (2019). The alchemy of computation: designing with the unknown, Natural Computing: an international journal, 18:3, (515-526), Online publication date: 1-Sep-2019.
  15. Bibilo P (2019). Decomposing a System of Boolean Functions into Subsystems of Connected Functions, Journal of Computer and Systems Sciences International, 58:2, (167-182), Online publication date: 1-Mar-2019.
  16. ACM
    Nazemi M, Pasandi G and Pedram M Energy-efficient, low-latency realization of neural networks through boolean logic minimization Proceedings of the 24th Asia and South Pacific Design Automation Conference, (274-279)
  17. Peng X and Qian W (2018). Stochastic Circuit Synthesis by Cube Assignment, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37:12, (3109-3122), Online publication date: 1-Dec-2018.
  18. Hassan A, Arifeen T, Moradian H and Lee J (2018). Generation Methodology for Good-Enough Approximate Modules of ATMR, Journal of Electronic Testing: Theory and Applications, 34:6, (651-665), Online publication date: 1-Dec-2018.
  19. Karmakar A, Roy S, Reparaz O, Vercauteren F and Verbauwhede I (2018). Constant-Time Discrete Gaussian Sampling, IEEE Transactions on Computers, 67:11, (1561-1571), Online publication date: 1-Nov-2018.
  20. Huang J, Wang P, Huang J and Wang P (2018). TCAM-Based IP Address Lookup Using Longest Suffix Split, IEEE/ACM Transactions on Networking, 26:2, (976-989), Online publication date: 1-Apr-2018.
  21. Possani V, Reis A, Ribas R, Marques F and da Rosa L (2017). Transistor Count Optimization in IG FinFET Network Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36:9, (1483-1496), Online publication date: 1-Sep-2017.
  22. Kubica M, Opara A and Kania D (2017). Logic synthesis for FPGAs based on cutting of BDD, Microprocessors & Microsystems, 52:C, (173-187), Online publication date: 1-Jul-2017.
  23. ACM
    Abusultan M and Khatri S Design of a Flash-based Circuit for Multi-valued Logic Proceedings of the on Great Lakes Symposium on VLSI 2017, (41-46)
  24. Chakrabarty K, Alioto M, Baas B, Boon C, Chang M, Chang N, Chang Y, Chang C, Chang S, Chen P, Chowdhury M, Corsonello P, Elfadel I, Hamdioui S, Hashimoto M, Ho T, Homayoun H, Hwang Y, Joshi R, Karnik T, Kermani M, Kim C, Kim T, Kulkarni J, Kursun E, Larsson E, Li H, Li H, Mercier P, Mishra P, Nagata M, Natarajan A, Nii K, Pande P, Savidis I, Seok M, Tan S, Tehranipoor M, Todri-Sanial A, Velev M, Velev M, Xu J, Zhang W, Zhang Z and Jackson S (2017). Editorial, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25:1, (1-20), Online publication date: 1-Jan-2017.
  25. Sheikh A, El-Maleh A, Elrabaa M and Sait S (2017). A Fault Tolerance Technique for Combinational Circuits Based on Selective-Transistor Redundancy, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25:1, (224-237), Online publication date: 1-Jan-2017.
  26. ACM
    Czerwinski R and Kania D (2016). State Assignment and Optimization of Ultra-High-Speed FSMs Utilizing Tristate Buffers, ACM Transactions on Design Automation of Electronic Systems, 22:1, (1-25), Online publication date: 28-Dec-2016.
  27. Murray S, Floyd-Jones W, Qi Y, Konidaris G and Sorin D The microarchitecture of a real-time robot motion planning accelerator The 49th Annual IEEE/ACM International Symposium on Microarchitecture, (1-12)
  28. ACM
    Wang H and Blanton R (2016). Ensemble Reduction via Logic Minimization, ACM Transactions on Design Automation of Electronic Systems, 21:4, (1-17), Online publication date: 22-Sep-2016.
  29. ACM
    Chrysanthou K, Englezakis P, Prodromou A, Panteli A, Nicopoulos C, Sazeides Y and Dimitrakopoulos G (2016). An Online and Real-Time Fault Detection and Localization Mechanism for Network-on-Chip Architectures, ACM Transactions on Architecture and Code Optimization, 13:2, (1-26), Online publication date: 27-Jun-2016.
  30. ACM
    Wu Y and Qian W An efficient method for multi-level approximate logic synthesis under error rate constraint Proceedings of the 53rd Annual Design Automation Conference, (1-6)
  31. Das A and Pradhan S (2016). Shared Reed-Muller Decision Diagram Based Thermal-Aware AND-XOR Decomposition of Logic Circuits, VLSI Design, 2016, Online publication date: 1-Apr-2016.
  32. Avdeev N and Bibilo P (2016). Experimental comparison of decomposition methods for systems of Boolean function, Journal of Computer and Systems Sciences International, 55:2, (189-210), Online publication date: 1-Mar-2016.
  33. Possani V, Callegaro V, Reis A, Ribas R, de Souza Marques F and da Rosa L (2016). Graph-Based Transistor Network Generation Method for Supergate Design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24:2, (692-705), Online publication date: 1-Feb-2016.
  34. Kagaris D (2015). MOTO-X: A Multiple-Output Transistor-Level Synthesis CAD Tool, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35:1, (114-127), Online publication date: 1-Jan-2016.
  35. Bobba S and De Micheli G (2015). Layout Technique for Double-Gate Silicon Nanowire FETs With an Efficient Sea-of-Tiles Architecture, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23:10, (2103-2115), Online publication date: 1-Oct-2015.
  36. ACM
    Chen T and Hayes J Equivalence among stochastic logic circuits and its application Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  37. ACM
    Yazdanbakhsh A, Palframan D, Davoodi A, Kim N and Lipasti M Online and Operand-Aware Detection of Failures Utilizing False Alarm Vectors Proceedings of the 25th edition on Great Lakes Symposium on VLSI, (149-154)
  38. von Rhein A, Grebhahn A, Apel S, Siegmund N, Beyer D and Berger T Presence-condition simplification in highly configurable systems Proceedings of the 37th International Conference on Software Engineering - Volume 1, (178-188)
  39. ACM
    Gange G, Søndergaard H and Stuckey P (2014). Synthesizing Optimal Switching Lattices, ACM Transactions on Design Automation of Electronic Systems, 20:1, (1-14), Online publication date: 18-Nov-2014.
  40. ACM
    Shafaei A, Saeedi M and Pedram M (2014). Cofactor Sharing for Reversible Logic Synthesis, ACM Journal on Emerging Technologies in Computing Systems, 11:2, (1-21), Online publication date: 18-Nov-2014.
  41. Balcárek J, Fišer P and Schmidt J (2014). On don't cares in test compression, Microprocessors & Microsystems, 38:8, (754-765), Online publication date: 1-Nov-2014.
  42. ACM
    Rutenbar R The First EDA MOOC Proceedings of the 51st Annual Design Automation Conference, (1-6)
  43. Adler O, Arbel E, Averbouch I, Beer I and Grijnevitch I Facilitating timing debug by logic path correspondence Proceedings of the conference on Design, Automation & Test in Europe, (1-6)
  44. Yuan K, Kuo C, Jiang J and Li M Encoding multi-valued functions for symmetry Proceedings of the International Conference on Computer-Aided Design, (771-778)
  45. Iwane H, Higuchi H and Anai H An Effective Implementation of a Special Quantifier Elimination for a Sign Definite Condition by Logical Formula Simplification Proceedings of the 15th International Workshop on Computer Algebra in Scientific Computing - Volume 8136, (194-208)
  46. ACM
    Miskov-Zivanov N, Marculescu D and Faeder J Dynamic behavior of cell signaling networks Proceedings of the 50th Annual Design Automation Conference, (1-6)
  47. Shafaei A, Saeedi M and Pedram M Reversible logic synthesis of k-input, m-output lookup tables Proceedings of the Conference on Design, Automation and Test in Europe, (1235-1240)
  48. Iqbal M Rule extraction from ensemble methods using aggregated decision trees Proceedings of the 19th international conference on Neural Information Processing - Volume Part II, (599-607)
  49. ACM
    Andersen N, Czarnecki K, She S and Wąsowski A Efficient synthesis of feature models Proceedings of the 16th International Software Product Line Conference - Volume 1, (106-115)
  50. Viilukas T, Karputkin A, Raik J, Jenihhin M, Ubar R and Fujiwara H (2012). Identifying Untestable Faults in Sequential Circuits Using Test Path Constraints, Journal of Electronic Testing: Theory and Applications, 28:4, (511-521), Online publication date: 1-Aug-2012.
  51. Dubrova E Synthesis of parallel binary machines Proceedings of the International Conference on Computer-Aided Design, (200-206)
  52. Alonso J and Magdalena L Generating understandable and accurate fuzzy rule-based systems in a java environment Proceedings of the 9th international conference on Fuzzy logic and applications, (212-219)
  53. Zamani M and Tahoori M Self-timed nano-PLA Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, (78-85)
  54. ACM
    Martins M, Callegaro V, Ribas R and Reis A Efficient method to compute minimum decision chains of Boolean functions Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, (419-422)
  55. ACM
    Lee T and Ye T (2011). A relational approach to functional decomposition of logic circuits, ACM Transactions on Database Systems, 36:2, (1-30), Online publication date: 1-May-2011.
  56. ACM
    Bernasconi A and Ciriani V (2011). Dimension-reducible Boolean functions based on affine spaces, ACM Transactions on Design Automation of Electronic Systems, 16:2, (1-21), Online publication date: 1-Mar-2011.
  57. Balasubramanian P, Prasad K and Mastorakis N (2010). A standard cell based synchronous dual-bit adder with embedded carry look-ahead, WSEAS Transactions on Circuits and Systems, 9:12, (736-745), Online publication date: 1-Dec-2010.
  58. Balasubramanian P, Prasad K and Mastorakis N A standard cell based synchronous dual-bit adder with embedded carry look-ahead Proceedings of the European conference of systems, and European conference of circuits technology and devices, and European conference of communications, and European conference on Computer science, (175-182)
  59. Balasubramanian P and Mastorakis N A set theory based method to derive network reliability expressions of complex system topologies Proceedings of the 2010 international conference on Applied computing conference, (108-114)
  60. ACM
    Marques F, Martinello O, Ribas R and Reis A Improvements on the detection of false paths by using unateness and satisfiability Proceedings of the 23rd symposium on Integrated circuits and system design, (192-197)
  61. Efthymiou A (2010). Initialization-based test pattern generation for asynchronous circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:4, (591-601), Online publication date: 1-Apr-2010.
  62. Shin D and Gupta S Approximate logic synthesis for error tolerant applications Proceedings of the Conference on Design, Automation and Test in Europe, (957-960)
  63. Yu H A memory- and time-efficient on-chip TCAM minimizer for IP lookup Proceedings of the Conference on Design, Automation and Test in Europe, (926-931)
  64. ACM
    Beach A, Gartrell M, Xing X, Han R, Lv Q, Mishra S and Seada K Fusing mobile, sensor, and social data to fully enable context-aware computing Proceedings of the Eleventh Workshop on Mobile Computing Systems & Applications, (60-65)
  65. Safarpour S and Veneris A (2009). Automated design debugging with abstraction and refinement, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:10, (1597-1608), Online publication date: 1-Oct-2009.
  66. Gajda Z and Sekanina L Gate-level optimization of polymorphic circuits using Cartesian genetic programming Proceedings of the Eleventh conference on Congress on Evolutionary Computation, (1599-1604)
  67. Benkhelifa E, Dragffy G, Pipe A and Nibouche M Design innovation for real world applications, using evolutionary algorithms Proceedings of the Eleventh conference on Congress on Evolutionary Computation, (918-924)
  68. ACM
    Cobb J, Gulati K and Khatri S Robust window-based multi-node technology-independent logic minimization Proceedings of the 19th ACM Great Lakes symposium on VLSI, (357-362)
  69. Hoffmann J, Bertoli P, Helmert M and Pistore M (2009). Message-based web service composition, integrity constraints, and planning under uncertainty, Journal of Artificial Intelligence Research, 35:1, (49-117), Online publication date: 1-May-2009.
  70. Bernasconi A, Ciriani V, Trucco G and Villa T On decomposing Boolean functions via extended cofactoring Proceedings of the Conference on Design, Automation and Test in Europe, (1464-1469)
  71. ACM
    Cerf L, Besson J, Robardet C and Boulicaut J (2009). Closed patterns meet n-ary relations, ACM Transactions on Knowledge Discovery from Data, 3:1, (1-36), Online publication date: 1-Mar-2009.
  72. Balasubramanian P and Mastorakis N (2009). High speed gate level synchronous full adder designs, WSEAS Transactions on Circuits and Systems, 8:2, (290-300), Online publication date: 1-Feb-2009.
  73. Sasao T On the numbers of variables to represent sparse logic functions Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, (45-51)
  74. ACM
    Krauss A (2008). Pattern minimization problems over recursive data types, ACM SIGPLAN Notices, 43:9, (267-274), Online publication date: 27-Sep-2008.
  75. ACM
    Krauss A Pattern minimization problems over recursive data types Proceedings of the 13th ACM SIGPLAN international conference on Functional programming, (267-274)
  76. Basççiftçi F and Kahramanli S A novel approach for fast covering the Boolean sets Proceedings of the 8th conference on Systems theory and scientific computation, (260-263)
  77. ACM
    Nunkesser R Analysis of a genetic programming algorithm for association studies Proceedings of the 10th annual conference on Genetic and evolutionary computation, (1259-1266)
  78. Fraczak W, Rytter W and Yazdani M Matching Integer Intervals by Minimal Sets of Binary Words with don't cares Proceedings of the 19th annual symposium on Combinatorial Pattern Matching, (217-229)
  79. ACM
    Catanzaro B, Keutzer K and Su B Parallelizing CAD Proceedings of the 45th annual Design Automation Conference, (12-17)
  80. ACM
    Paul S, Garg R and Khatri S Pipelined network of PLA based circuit design Proceedings of the 18th ACM Great Lakes symposium on VLSI, (213-218)
  81. ACM
    Bernasconi A, Ciriani V and Cordone R (2008). The optimization of kEP-SOPs, ACM Transactions on Design Automation of Electronic Systems, 13:2, (1-31), Online publication date: 2-Apr-2008.
  82. ACM
    Saluja N, Gulati K and Khatri S (2008). SAT-based ATPG using multilevel compatible don't-cares, ACM Transactions on Design Automation of Electronic Systems, 13:2, (1-18), Online publication date: 2-Apr-2008.
  83. Friedel M, Nikolajewa S and Wilhelm T (2008). The decomposition tree for analyses of boolean functions, Mathematical Structures in Computer Science, 18:2, (411-426), Online publication date: 1-Apr-2008.
  84. ACM
    Stitt G and Vahid F (2008). Binary synthesis, ACM Transactions on Design Automation of Electronic Systems, 12:3, (1-30), Online publication date: 17-Aug-2007.
  85. Alkabani Y and Koushanfar F Active hardware metering for intellectual property protection and security Proceedings of 16th USENIX Security Symposium on USENIX Security Symposium, (1-16)
  86. ACM
    Cheng L, Chen D and Wong M DDBDD Proceedings of the 44th annual Design Automation Conference, (910-915)
  87. Garg A, Xenarios I, Mendoza L and DeMicheli G An efficient method for dynamic analysis of gene regulatory networks and in silico gene perturbation experiments Proceedings of the 11th annual international conference on Research in computational molecular biology, (62-76)
  88. Wagner I and Bertacco V Engineering trust with semantic guardians Proceedings of the conference on Design, automation and test in Europe, (743-748)
  89. ACM
    Gherman V, Wunderlich H, Mascarenhas R, Schloeffel J and Garbers M Synthesis of irregular combinational functions with large don't care sets Proceedings of the 17th ACM Great Lakes symposium on VLSI, (287-292)
  90. ACM
    Ziegler M, Ditlow G, Kosonocky S, Qi Z and Stan M Structured and tuned array generation (STAG) for high-performance random logic Proceedings of the 17th ACM Great Lakes symposium on VLSI, (257-262)
  91. Gosti W, Villa T, Saldanha A and Sangiovanni-Vincentelli A (2007). FSM Encoding for BDD Representations, International Journal of Applied Mathematics and Computer Science, 17:1, (113-124), Online publication date: 1-Mar-2007.
  92. ACM
    Jayakumar N, Garg R, Gamache B and Khatri S A PLA based asynchronous micropipelining approach for subthreshold circuit design Proceedings of the 43rd annual Design Automation Conference, (419-424)
  93. Zaber M and Babu H An enhanced local covering approach for minimization of multiple-valued input binary-valued output functions Proceedings of the 10th WSEAS international conference on Computers, (63-68)
  94. ACM
    Lau W, Lee K and Leung K A hybridized genetic parallel programming based logic circuit synthesizer Proceedings of the 8th annual conference on Genetic and evolutionary computation, (839-846)
  95. ACM
    Garg R, Sanchez M, Gulati K, Jayakumar N, Gupta A and Khatri S A design flow to optimize circuit delay by using standard cells and PLAs Proceedings of the 16th ACM Great Lakes symposium on VLSI, (217-222)
  96. Bernasconi A, Ciriani V, Drechsler R and Villa T Efficient minimization of fully testable 2-SPP networks Proceedings of the conference on Design, automation and test in Europe: Proceedings, (1300-1305)
  97. Kitchen N and Kuehlmann A Temporal Decomposition for Logic Optimization Proceedings of the 2005 International Conference on Computer Design, (697-702)
  98. Aksoy L and Gunes E An evolutionary local search algorithm for the satisfiability problem Proceedings of the 14th Turkish conference on Artificial Intelligence and Neural Networks, (185-193)
  99. Ravikumar V, Mahapatra R and Bhuyan L (2005). EaseCAM, IEEE Transactions on Computers, 54:5, (521-533), Online publication date: 1-May-2005.
  100. ACM
    Marques F, Ribas R, Sapatnekar S and Reis A A new approach to the use of satisfiability in false path detection Proceedings of the 15th ACM Great Lakes symposium on VLSI, (308-311)
  101. ACM
    Budiu M, Venkataramani G, Chelcea T and Goldstein S (2004). Spatial computation, ACM SIGOPS Operating Systems Review, 38:5, (14-26), Online publication date: 1-Dec-2004.
  102. ACM
    Budiu M, Venkataramani G, Chelcea T and Goldstein S (2004). Spatial computation, ACM SIGARCH Computer Architecture News, 32:5, (14-26), Online publication date: 1-Dec-2004.
  103. Jayakumar N and Khatri S A metal and via maskset programmable VLSI design methodology using PLAs Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (590-594)
  104. Ahmand S and Mahapatra R M-trie Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (428-435)
  105. ACM
    Budiu M, Venkataramani G, Chelcea T and Goldstein S (2004). Spatial computation, ACM SIGPLAN Notices, 39:11, (14-26), Online publication date: 1-Nov-2004.
  106. ACM
    Budiu M, Venkataramani G, Chelcea T and Goldstein S Spatial computation Proceedings of the 11th international conference on Architectural support for programming languages and operating systems, (14-26)
  107. ACM
    Saluja N and Khatri S A robust algorithm for approximate compatible observability don't care (CODC) computation Proceedings of the 41st annual Design Automation Conference, (422-427)
  108. ACM
    Mo F and Brayton R A timing-driven module-based chip design flow Proceedings of the 41st annual Design Automation Conference, (67-70)
  109. Chen S, Lin S, Huang L and Wei C (2004). Towards the Exact Minimization of BDDs—An Elitism-Based Distributed Evolutionary Algorithm, Journal of Heuristics, 10:3, (337-355), Online publication date: 1-May-2004.
  110. ACM
    Ferrera S and Carter N A magnetoelectronic macrocell employing reconfigurable threshold logic Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, (143-151)
  111. ACM
    DeHon A and Wilson M Nanowire-based sublithographic programmable logic arrays Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, (123-132)
  112. Dimopoulos M and Linardis P Efficient Static Compaction of Test Sequence Sets through the Application of Set Covering Techniques Proceedings of the conference on Design, automation and test in Europe - Volume 1
  113. Sasao T and Butler J A fast method to derive minimum SOPs for decomposable functions Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (585-590)
  114. Bhattacharya B, Dmitriev A and Gössel M (2003). Zero-Aliasing Space Compaction of Test Responses Using a Single Periodic Output, IEEE Transactions on Computers, 52:12, (1646-1651), Online publication date: 1-Dec-2003.
  115. Mishchenko A and Brayton R A Theory of Non-Deterministic Networks Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  116. Weiss S and Beren S (2003). Class-Based Decompressor Design for Compressed Instruction Memory in Embedded Processors, IEEE Transactions on Computers, 52:11, (1495-1500), Online publication date: 1-Nov-2003.
  117. ACM
    Lysecky R and Vahid F A codesigned on-chip logic minimizer Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (109-113)
  118. ACM
    Lysecky R and Vahid F On-chip logic minimization Proceedings of the 40th annual Design Automation Conference, (334-337)
  119. ACM
    Mishchenko A and Sasao T Large-scale SOP minimization using decomposition and functional properties Proceedings of the 40th annual Design Automation Conference, (149-154)
  120. Estrada G A note on designing logical circuits using SAT Proceedings of the 5th international conference on Evolvable systems: from biology to hardware, (410-421)
  121. Goldberg E and Novikov Y Verification of Proofs of Unsatisfiability for CNF Formulas Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  122. Jiang J, Mishchenko A and Brayton R Reducing Multi-Valued Algebraic Operations to Binary Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  123. Bhattacharya B, Seth S and Zhang S Low-Energy BIST Design for Scan-based Logic Circuits Proceedings of the 16th International Conference on VLSI Design
  124. McCluskey E Switching theory Encyclopedia of Computer Science, (1727-1731)
  125. ACM
    Biere A and Kunz W SAT and ATPG Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (782-785)
  126. ACM
    Mishchenko A and Brayton R Simplification of non-deterministic multi-valued networks Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (557-562)
  127. ACM
    Mo F and Brayton R Whirlpool PLAs Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (543-550)
  128. Muselli M and Liberati D (2002). Binary Rule Generation via Hamming Clustering, IEEE Transactions on Knowledge and Data Engineering, 14:6, (1258-1268), Online publication date: 1-Nov-2002.
  129. Sanchez S, Triantaphyllou E, Chen J and Liao T (2002). An incremental learning algorithm for constructing boolean functions from positive and negative examples, Computers and Operations Research, 29:12, (1677-1700), Online publication date: 1-Oct-2002.
  130. Gottlieb J, Marchiori E and Rossi C (2002). Evolutionary algorithms for the satisfiability problem, Evolutionary Computation, 10:1, (35-50), Online publication date: 1-Mar-2002.
  131. Yoshida H, Yamaoka H, Ikeda M and Asada K Logic Synthesis for AND-XOR-OR Type Sense-Amplifying PLA Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  132. Yoshida H, Sera M, Kubo M and Fujita M Simultaneous Circuit Transformation and Routing Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  133. Liu H (2002). Routing Table Compaction in Ternary CAM, IEEE Micro, 22:1, (58-64), Online publication date: 1-Jan-2002.
  134. ACM
    Lai C and Chen T Compressing inverted files in scalable information systems by binary decision diagram encoding Proceedings of the 2001 ACM/IEEE conference on Supercomputing, (60-60)
  135. Hlavička J and Fišer P BOOM Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (439-442)
  136. Kunz W, Marques-Silva J and Malik S SAT and ATPG Logic Synthesis and Verification, (309-341)
  137. Sentovich E and Brand D Flexibillity in logic Logic Synthesis and Verification, (65-88)
  138. Fujita M, Matsunaga Y and Ciesielski M Multi-level logic optimization Logic Synthesis and Verification, (29-63)
  139. Coudert O and Sasao T Two-level logic minimization Logic Synthesis and Verification, (1-27)
  140. Sasao T and Butler J (2001). Worst and Best Irredundant Sum-of-Products Expressions, IEEE Transactions on Computers, 50:9, (935-948), Online publication date: 1-Sep-2001.
  141. Kiefer G, Vranken H, Jan Marinissen E and Wunderlich H (2001). Application of Deterministic Logic BIST on Industrial Circuits, Journal of Electronic Testing: Theory and Applications, 17:3-4, (351-362), Online publication date: 1-Jun-2001.
  142. ACM
    Weiss S and Beren S HW/SW partitioning of an embedded instruction memory decompressor Proceedings of the ninth international symposium on Hardware/software codesign, (36-41)
  143. Chiusano S, di Carlo S, Prinetto P and Wunderlich H On applying the set covering model to reseeding Proceedings of the conference on Design, automation and test in Europe, (156-161)
  144. Novikov Y and Goldberg E An efficient learning procedure for multiple implication checks Proceedings of the conference on Design, automation and test in Europe, (127-135)
  145. Um J and Kim T (2001). An Optimal Allocation of Carry-Save-Adders in Arithmetic Circuits, IEEE Transactions on Computers, 50:3, (215-233), Online publication date: 1-Mar-2001.
  146. ACM
    Bhattacharya B, Dmitriev A, Gössel M and Chakrabarty K Synthesis of single-output space compactors with application to scan-based IP cores Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (496-502)
  147. ACM
    Sasao T and Butler J On the minimization of SOPs for bi-decomposition functions Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (219-224)
  148. ACM
    Eichenberger A, Meleis W and Maradani S An integrated approach to accelerate data and predicate computations in hyperblocks Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, (101-111)
  149. Khatri S, Brayton R and Sangiovanni-Vincentelli A Cross-talk immune VLSI design using a network of PLAs embedded in a regular layout fabric Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (412-419)
  150. Kiefer G, Vranken H, Marinisse E and Wunderlich H Application of Deterministic Logic BIST on Industrial Circuits Proceedings of the 2000 IEEE International Test Conference
  151. Efficient Design Error Correction of Digital Circuits Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
  152. ACM
    Nemani M and Tiwari V Macro-driven circuit design methodology for high-performance datapaths Proceedings of the 37th Annual Design Automation Conference, (661-666)
  153. Gerstendörfer S and Wunderlich H (2000). Minimized Power Consumption for Scan-Based BIST, Journal of Electronic Testing: Theory and Applications, 16:3, (203-212), Online publication date: 1-Jun-2000.
  154. Kiefer G and Wunderlich H (2000). Deterministic BIST with Partial Scan, Journal of Electronic Testing: Theory and Applications, 16:3, (169-177), Online publication date: 1-Jun-2000.
  155. Boyd M and Larrabee T A Scalable, Loadable Custom Programmable Logic Device for Solving Boolean Satisfiability Problems Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines
  156. ACM
    Smith J The gsim gate-level simulator Proceedings of the 38th annual on Southeast regional conference, (67-76)
  157. Miller J, Job D and Vassilev V (2000). Principles in the Evolutionary Design of Digital Circuits—Part I, Genetic Programming and Evolvable Machines, 1:1-2, (7-35), Online publication date: 1-Apr-2000.
  158. Zebulum R, Vellasco M and Pacheco M (2000). Variable Length Representation in Evolutionary Electronics, Evolutionary Computation, 8:1, (93-120), Online publication date: 1-Mar-2000.
  159. Abramovici M and De Sousa J (2000). A SAT Solver Using Reconfigurable Hardware and Virtual Logic, Journal of Automated Reasoning, 24:1-2, (5-36), Online publication date: 1-Feb-2000.
  160. ACM
    Cordone R, Ferrandi F, Sciuto D and Calvo R An efficient heuristic approach to solve the unate covering problem Proceedings of the conference on Design, automation and test in Europe, (364-371)
  161. ACM
    Kalla P, Zeng Z, Ciesielski M and Huang C A BDD-based satisfiability infrastructure using the unate recursive paradigm Proceedings of the conference on Design, automation and test in Europe, (232-236)
  162. Gerstendörfer S and Wunderlich H Minimized Power Consumption For Scan-Based Bist Proceedings of the 1999 IEEE International Test Conference
  163. Drechsler R (1999). Pseudo-Kronecker Expressions for Symmetric Functions, IEEE Transactions on Computers, 48:9, (987-990), Online publication date: 1-Sep-1999.
  164. ACM
    Abramovici M, de Sousa J and Saab D A massively-parallel easily-scalable satisfiability solver using reconfigurable hardware Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (684-690)
  165. Drechsler R, Hengster H, Schäfer H, Hartmann J and Becker B (1999). Testability of 2-Level AND/EXOR Circuits, Journal of Electronic Testing: Theory and Applications, 14:3, (219-225), Online publication date: 1-Jun-1999.
  166. Taha I and Ghosh J (1999). Symbolic Interpretation of Artificial Neural Networks, IEEE Transactions on Knowledge and Data Engineering, 11:3, (448-463), Online publication date: 1-May-1999.
  167. Abramovici M and de Sousa J A Virtual Logic Algorithm for Solving Satisfiability Problems Using Reconfigurable Hardware Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
  168. ACM
    Li Z and Hauck S Don't Care discovery for FPGA configuration compression Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays, (91-98)
  169. Kiefer G and Wunderlich H (1999). Deterministic BIST with Multiple Scan Chains, Journal of Electronic Testing: Theory and Applications, 14:1-2, (85-93), Online publication date: 1-Feb-1999.
  170. ACM
    Santoso Y, Merten M, Rudnick E and Abramovici M FreezeFrame Proceedings of the conference on Design, automation and test in Europe, (147-es)
  171. Drechsler R, Becker B and Jahnke A (1998). On Variable Ordering and Decomposition Type Choice in OKFDDs, IEEE Transactions on Computers, 47:12, (1398-1403), Online publication date: 1-Dec-1998.
  172. ACM
    Minato S and De Micheli G Finding all simple disjunctive decompositions using irredundant sum-of-products forms Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (111-117)
  173. Zhao M, Quek F and Wu X (1998). RIEVL, IEEE Transactions on Pattern Analysis and Machine Intelligence, 20:11, (1174-1185), Online publication date: 1-Nov-1998.
  174. Oliveira A, Carloni L, Villa T and Sangiovanni-Vincentelli A (1998). Exact Minimization of Binary Decision Diagrams Using Implicit Techniques, IEEE Transactions on Computers, 47:11, (1282-1296), Online publication date: 1-Nov-1998.
  175. Ravi S, Lakshminarayana G and Jha N TAO Proceedings of the 1998 IEEE International Test Conference, (331-340)
  176. Kiefer G and Wunderlich H Deterministic BIST with multiple scan chains Proceedings of the 1998 IEEE International Test Conference, (1057-1064)
  177. Cortadella J, Kishinevsky M, Lavagno L and Yakovlev A (1998). Deriving Petri Nets from Finite Transition Systems, IEEE Transactions on Computers, 47:8, (859-882), Online publication date: 1-Aug-1998.
  178. Sahraoui Z, Catthoor F, Six P and De Man H (1998). Techniques for Reducing the Number of Decisions and Backtracks in Combinational Test Generation, Journal of Electronic Testing: Theory and Applications, 12:3, (217-238), Online publication date: 1-Jun-1998.
  179. ACM
    Kravets V and Sakallah K M32 Proceedings of the 35th annual Design Automation Conference, (336-341)
  180. ACM
    Guerra L, Potkonjak M and Rabaey J A methodology for guided behavioral-level optimization Proceedings of the 35th annual Design Automation Conference, (309-314)
  181. Wang Y and McCrosky C (1998). Solving Boolean Equations Using ROSOP Forms, IEEE Transactions on Computers, 47:2, (171-177), Online publication date: 1-Feb-1998.
  182. Yakovlev A (1998). Designing Control Logic for Counterflow Pipeline Processor Using Petri Nets, Formal Methods in System Design, 12:1, (39-71), Online publication date: 1-Jan-1998.
  183. Carloni L, McGeer P, Saldanha A and Sangiovanni-Vincentelli A Trace driven logic synthesis—application to power minimization Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (581-588)
  184. Mitra S, Avra L and McCluskey E An output encoding problem and a solution technique Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (304-307)
  185. Goldberg E, Villa T, Brayton R and Sangiovanni-Vincentelli A A fast and robust exact algorithm for face embedding Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (296-303)
  186. Kiefer G and Wunderlich H Using BIST Control for Pattern Generation Proceedings of the 1997 IEEE International Test Conference
  187. Hong S (1997). R-MINI, IEEE Transactions on Knowledge and Data Engineering, 9:5, (709-717), Online publication date: 1-Sep-1997.
  188. Thornton M (1997). Signed Binary Addition Circuitry with Inherent Even Parity Outputs, IEEE Transactions on Computers, 46:7, (811-816), Online publication date: 1-Jul-1997.
  189. ACM
    Hong I, Kirovski D and Potkonjak M Potential-driven statistical ordering of transformations Proceedings of the 34th annual Design Automation Conference, (347-352)
  190. ACM
    Liu T, Sajid K, Aziz A and Singhal V Optimizing designs containing black boxes Proceedings of the 34th annual Design Automation Conference, (113-116)
  191. Stroele A and Mayer F Methods to reduce test application time for accumulator-based self-test Proceedings of the 15th IEEE VLSI Test Symposium
  192. ACM
    Keutzer K, Newton A and Shenoy N The future of logic synthesis and physical design in deep-submicron process geometries Proceedings of the 1997 international symposium on Physical design, (218-224)
  193. Drechsler R, Hengster H, Schafer H, Hartmann J and Becker B Testability of 2-level AND/EXOR circuits Proceedings of the 1997 European conference on Design and Test
  194. Coudert O Solving Graph Optimization Problems with ZBDDs Proceedings of the 1997 European conference on Design and Test
  195. Jacob J, Sivakumar P and Agrawal V Adder and Comparator Synthesis with Exclusive-OR Transform of Inputs Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
  196. Wunderlich H and Kiefer G Bit-flipping BIST Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design, (337-343)
  197. Wang Q and Vrudhula S Multi-level logic optimization for low power using local logic transformations Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design, (270-277)
  198. Drechsler R, Theobald M and Becker B (1996). Fast OFDD-Based Minimization of Fixed Polarity Reed-Muller Expressions, IEEE Transactions on Computers, 45:11, (1294-1299), Online publication date: 1-Nov-1996.
  199. Wu H, Perkowski M, Zeng X and Zhuang N (1996). Generalized Partially-Mixed-Polarity Reed-Muller Expansion and Its Fast Computation, IEEE Transactions on Computers, 45:9, (1084-1088), Online publication date: 1-Sep-1996.
  200. Nemani M and Najm F High-level power estimation and the area complexity of Boolean functions Proceedings of the 1996 international symposium on Low power electronics and design, (329-334)
  201. Katkoori S and Vemuri R Simulation based architectural power estimation for PLA-based controllers Proceedings of the 1996 international symposium on Low power electronics and design, (121-124)
  202. ACM
    Higuchi H and Matsunaga Y A fast state reduction algorithm for incompletely specified finite state machine Proceedings of the 33rd annual Design Automation Conference, (463-466)
  203. ACM
    Tsai C and Marek-Sadowska M Multilevel logic synthesis for arithmetic functions Proceedings of the 33rd annual Design Automation Conference, (242-247)
  204. ACM
    Coudert O On solving covering problems Proceedings of the 33rd annual Design Automation Conference, (197-202)
  205. ACM
    Theobald M, Nowick S and Wu T Espresso-HF Proceedings of the 33rd annual Design Automation Conference, (71-76)
  206. ACM
    Li J and Gupta R HDL optimization using timed decision tables Proceedings of the 33rd annual Design Automation Conference, (51-54)
  207. Wang Y and McCrosky C (1996). Negation Trees, IEEE Transactions on Computers, 45:5, (626-630), Online publication date: 1-May-1996.
  208. Balakrishnan A and Chakradhar S Retiming with logic duplication transformation Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
  209. Biswas N, Srikanth C and Jacob J Cubical CAMP for minimization of Boolean functions Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
  210. Pomeranz I and Reddy S Functional test generation for delay faults in combinational circuits Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (687-694)
  211. Iman S and Pedram M Two-level logic minimization for low power Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (433-438)
  212. Bahar R and Somenzi F Boolean techniques for low power driven re-synthesis Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (428-432)
  213. Bergamaschi R, Brand D, Stok L, Berkelaar M and Prakash S Efficient use of large don't cares in high-level and logic synthesis Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (272-278)
  214. Brand D, Bergamaschi R and Stok L Be careful with don't cares Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (83-86)
  215. Gupta S and Lempel M (1995). Zero-Aliasing for Modeled Faults, IEEE Transactions on Computers, 44:11, (1283-1295), Online publication date: 1-Nov-1995.
  216. Saluja E Synthesizing Finite State Machines for Minimum Length Synchronizing Sequence Using Partial Scan Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
  217. IEEE Transactions on Computers Staff (1995). INCREDYBLE, IEEE Transactions on Computers, 44:6, (792-804), Online publication date: 1-Jun-1995.
  218. ACM
    Landman P and Rabaey J Activity-sensitive architectural power analysis for the control path Proceedings of the 1995 international symposium on Low power design, (93-98)
  219. Wurth B and Fuchs K A BIST approach to delay fault testing with reduced test length Proceedings of the 1995 European conference on Design and Test
  220. Ke W and Menon P (1995). Synthesis of Delay-Verifiable Combinational Circuits, IEEE Transactions on Computers, 44:2, (213-222), Online publication date: 1-Feb-1995.
  221. Coudert O Doing two-level logic minimization 100 times faster Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms, (112-121)
  222. ACM
    Nakamura Y and Yoshimura T A partitioning-based logic optimization method for large scale circuits with Boolean matrix Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (653-657)
  223. ACM
    Coudert O and Madre J New ideas for solving covering problems Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (641-646)
  224. ACM
    Liu S, Pedram M and Despain A A fast state assignment procedure for large FSMs Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (327-332)
  225. ACM
    Shen W, Huang J and Chao S Lambda set selection in Roth-Karp decomposition for LUT-based FPGA technology mapping Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (65-69)
  226. Pomeranz I and Reddy S On error correction in macro-based circuits Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design, (568-575)
  227. Pixley C, Singhal V, Aziz A and Brayton R Multi-level synthesis for safe replaceability Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design, (442-449)
  228. Chiang C and Gupta S Random pattern testable logic synthesis Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design, (125-128)
  229. Hellebrand S and Wunderlich H An efficient procedure for the synthesis of fast self-testable controller structures Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design, (110-116)
  230. Kunz W and Menon P Multi-level logic optimization by implication analysis Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design, (6-13)
  231. Mukherjee D, Pedram M and Breuer M Control strategies for chip-based DFT/BIST hardware Proceedings of the 1994 international conference on Test, (893-902)
  232. Lavagno L, Lioy A and Kishinevsky M Testing redundant asynchronous circuits by variable phase splitting Proceedings of the conference on European design automation, (328-333)
  233. Drechsler R, Becker B and Theobald M Fast OFDD based minimization of fixed polarity Reed-Muller expressions Proceedings of the conference on European design automation, (2-7)
  234. ACM
    Fisher A and Ghuloum A Parallelizing complex scans and reductions Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation, (135-146)
  235. Apté C and Hong S Predicting equity returns from securities data with minimal rule generation Proceedings of the 3rd International Conference on Knowledge Discovery and Data Mining, (407-418)
  236. ACM
    Drechsler R, Sarabi A, Theobald M, Becker B and Perkowski M Efficient representation and manipulation of switching functions based on ordered Kronecker functional decision diagrams Proceedings of the 31st annual Design Automation Conference, (415-419)
  237. ACM
    Murgai R, Brayton R and Sangiovanni-Vincentelli A Optimum functional decomposition using encoding Proceedings of the 31st annual Design Automation Conference, (408-414)
  238. ACM
    Chou N, Liu L, Cheng C, Dai W and Lindelof R Circuit partitioning for huge logic emulation systems Proceedings of the 31st annual Design Automation Conference, (244-249)
  239. ACM
    Fisher A and Ghuloum A (1994). Parallelizing complex scans and reductions, ACM SIGPLAN Notices, 29:6, (135-146), Online publication date: 1-Jun-1994.
  240. Kantabutra V and Andreou A (1994). A State Assignment Approach to Asynchronous CMOS Circuit Design, IEEE Transactions on Computers, 43:4, (460-469), Online publication date: 1-Apr-1994.
  241. Stanion T and Sechen C Maximum projections of don't care conditions in a Boolean network Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design, (674-679)
  242. Liao S, Devadas S and Ghosh A Boolean factorization using multiple-valued minimization Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design, (606-611)
  243. Murgai R, Brayton R and Sangiovanni-Vincentelli A Cube-packing and two-level minimization Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design, (115-122)
  244. Malik A, Brayton R, Newton A and Sangiovanni-Vincentelli A (1993). Two-Level Minimization of Multivalued Functions with Large Offsets, IEEE Transactions on Computers, 42:11, (1325-1342), Online publication date: 1-Nov-1993.
  245. Pomeranz I and Reddy S (1993). Testing of Fault-Tolerant Hardware Through Partial Control of Inputs, IEEE Transactions on Computers, 42:10, (1267-1271), Online publication date: 1-Oct-1993.
  246. ACM
    Coudert O, Madre J and Fraisse H A new viewpoint on two-level logic minimization Proceedings of the 30th international Design Automation Conference, (625-630)
  247. ACM
    McGeer P, Sanghavi J, Brayton R and Vincentelli A Espresso-signature Proceedings of the 30th international Design Automation Conference, (618-624)
  248. ACM
    Chen I, Chen G, Hill F and Kuo S The sea-of-wires array synthesis system Proceedings of the 30th international Design Automation Conference, (188-193)
  249. ACM
    Pomeranz I and Reddy S INCREDYBLE-TG Proceedings of the 30th international Design Automation Conference, (80-85)
  250. Brand D and Sasao T (1993). Minimization of AND-EXOR Expressions Using Rewrite Rules, IEEE Transactions on Computers, 42:5, (568-576), Online publication date: 1-May-1993.
  251. Shen A, Ghosh A, Devadas S and Keutzer K On average power dissipation and random pattern testability of CMOS combinational logic networks Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (402-407)
  252. Brand D Exhaustive simulation need not require an exponential number of tests Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (98-101)
  253. Pabst M, Villa T and Newton A Experiments on the synthesis and testability of non-scan finite state machines Proceedings of the conference on European design automation, (537-542)
  254. Chen K and Cong J Maximal reduction of lookup-table based FPGAs Proceedings of the conference on European design automation, (224-229)
  255. ten Berg A Flexible controlpath microarchitecture synthesis based on artificial intelligence Proceedings of the conference on European design automation, (112-117)
  256. ACM
    Hong H Simple solution formula construction in cylindrical algebraic decomposition based quantifier elimination Papers from the international symposium on Symbolic and algebraic computation, (177-188)
  257. Bergamaschi R, Lobo D and Kuehlmann A Control optimization in high-level synthesis using behavioral don't cares Proceedings of the 29th ACM/IEEE Design Automation Conference, (657-661)
  258. Damiani M and De Micheli G Recurrence equations and the optimization of synchronous logic circuits Proceedings of the 29th ACM/IEEE Design Automation Conference, (556-561)
  259. Malik A Optimization of primitive gate networks using multiple output two-level minimization Proceedings of the 29th ACM/IEEE Design Automation Conference, (449-453)
  260. Chen K and Fujita M Efficient sum-to-one subsets algorithm for logic optimization Proceedings of the 29th ACM/IEEE Design Automation Conference, (443-448)
  261. Murgai R, Brayton R and Sangiovanni-Vincentelli A An improved synthesis algorithm for multiplexor-based PGA's Proceedings of the 29th ACM/IEEE Design Automation Conference, (380-386)
  262. Ghosh A, Devadas S, Keutzer K and White J Estimation of average switching activity in combinational and sequential circuits Proceedings of the 29th ACM/IEEE Design Automation Conference, (253-259)
  263. Cheng K and Ma H On the over-specification problem in sequential ATPG algorithms Proceedings of the 29th ACM/IEEE Design Automation Conference, (16-21)
  264. Coudert O and Madre J Implicit and incremental computation of primes and essential primes of Boolean functions Proceedings of the 29th ACM/IEEE Design Automation Conference, (36-39)
  265. Lin B, Coudert O and Madre J Symbolic prime generation for multiple-valued functions Proceedings of the 29th ACM/IEEE Design Automation Conference, (40-44)
  266. Kamath A, Karmarkar N, Ramakrishnan K and Resende M (1992). A continuous approach to inductive inference, Mathematical Programming: Series A and B, 57:1-3, (215-238), Online publication date: 1-May-1992.
  267. Pomeranz I and Reddy S (1992). The Multiple Observation Time Test Strategy, IEEE Transactions on Computers, 41:5, (627-637), Online publication date: 1-May-1992.
  268. Madre J and Coudert O A logically complete reasoning maintenance system based on a logical constraint solver Proceedings of the 12th international joint conference on Artificial intelligence - Volume 1, (294-299)
  269. ACM
    Dutt N and Kipps J Bridging high-level synthesis to RTL technology libraries Proceedings of the 28th ACM/IEEE Design Automation Conference, (526-529)
  270. ACM
    Lavagno L, Keutzer K and Sangiovanni-Vincentelli A Algorithms for synthesis of hazard-free asynchronous circuits Proceedings of the 28th ACM/IEEE Design Automation Conference, (302-308)
  271. ACM
    Chew M and Strojwas A Utilizing logic information in multi-level timing simulation Proceedings of the 28th ACM/IEEE Design Automation Conference, (215-218)
  272. ACM
    Hill D A CAD system for the design of field programmable gate arrays Proceedings of the 28th ACM/IEEE Design Automation Conference, (187-192)
  273. ACM
    Geiger M and Müller-Wipperfürth T FSM decomposition revisited Proceedings of the 28th ACM/IEEE Design Automation Conference, (182-185)
  274. ACM
    Saldanha A, Villa T, Brayton R and Sangiovanni-Vincentelli A A framework for satisfying input and output encoding constraints Proceedings of the 28th ACM/IEEE Design Automation Conference, (170-175)
  275. Makki R, Bou-Ghazale S and Tianshang C (1991). Automatic Test Pattern Generation with Branch Testing, IEEE Transactions on Computers, 40:6, (785-791), Online publication date: 1-Jun-1991.
  276. Kuehlmann A and Manoli Y Module synthesis for finite state machines Proceedings of the conference on European design automation, (581-585)
  277. Torki K, Nicolaidis M and Fernandes A A self-checking PLA automatic generator tool based on unordered codes encoding Proceedings of the conference on European design automation, (510-515)
  278. Tarroux G, Rouzeyre B and Sagnes G Optimization of micro-controllers by partitioning Proceedings of the conference on European design automation, (368-373)
  279. Blaauw D, Saab D, Banerjee P and Abraham J Functional abstraction of logic gates for switch-level simulation Proceedings of the conference on European design automation, (329-333)
  280. Vinnakota B and Jha N MACHETE Proceedings of the conference on European design automation, (289-293)
  281. Thomas R and Kundu S Synthesis of fully testable sequential machines Proceedings of the conference on European design automation, (283-288)
  282. Ambanelli M, Favalli M, Olivo P and Riccó B Detection of PLA multiple crosspoint faults Proceedings of the conference on European design automation, (80-84)
  283. Luba T, Kalinowski J and Jasiński K PLATO Proceedings of the conference on European design automation, (65-69)
  284. Buijs F and Lengauer T Synthesis of multi-level logic with one symbolic input Proceedings of the conference on European design automation, (60-64)
  285. Fujita M, Matsunaga Y and Kakuda T On variable ordering of binary decision diagrams for the application of multi-level logic synthesis Proceedings of the conference on European design automation, (50-54)
  286. Tirumalai P and Bulter J (1991). Minimization Algorithms for Multiple-Valued Programmable Logic Arrays, IEEE Transactions on Computers, 40:2, (167-177), Online publication date: 1-Feb-1991.
  287. ACM
    Upadhyaya S and Thodiyil J BIST PLAs, pass or fail—a case study Proceedings of the 27th ACM/IEEE Design Automation Conference, (724-727)
  288. ACM
    Whitcomb G and Newton A Abstract data types and high-level synthesis Proceedings of the 27th ACM/IEEE Design Automation Conference, (680-685)
  289. ACM
    Murgai R, Nishizaki Y, Shenoy N, Brayton R and Sangiovanni-Vincentelli A Logic synthesis for programmable gate arrays Proceedings of the 27th ACM/IEEE Design Automation Conference, (620-625)
  290. ACM
    Kageyama N, Miura C and Shimizu T Logic optimization algorithm by linear programming approach Proceedings of the 27th ACM/IEEE Design Automation Conference, (345-348)
  291. ACM
    Wey C, Ding J and Chang T Design of repairable and fully diagnosable folded PLAs for yield enhancement Proceedings of the 27th ACM/IEEE Design Automation Conference, (327-332)
  292. ACM
    Malik A, Brayton R, Newton A and Sangiovanni-Vincentelli A Reduced offsets for two-level multi-valued logic minimization Proceedings of the 27th ACM/IEEE Design Automation Conference, (290-296)
  293. ACM
    Sato H, Yasue Y, Matsunaga Y and Fujita M Boolean resubstitution with permissible functions and binary decision diagrams Proceedings of the 27th ACM/IEEE Design Automation Conference, (284-289)
  294. ACM
    Agrawal V and Chen K Test function specification in synthesis Proceedings of the 27th ACM/IEEE Design Automation Conference, (235-240)
  295. ACM
    Ghosh A, Devadas S and Newton A Verification of interacting sequential circuits Proceedings of the 27th ACM/IEEE Design Automation Conference, (213-219)
  296. ACM
    Brace K, Rudell R and Bryant R Efficient implementation of a BDD package Proceedings of the 27th ACM/IEEE Design Automation Conference, (40-45)
  297. ACM
    Maurer P and Morency C The FHDL PLA tools Proceedings of the 28th annual Southeast regional conference, (3-9)
  298. Krasniewski A Design for verification testability Proceedings of the conference on European design automation, (644-648)
  299. Maxwell P and Wunderlich H The effectiveness of different test sets for PLAs Proceedings of the conference on European design automation, (628-632)
  300. Zegers J, Six P, Rabaey J and De Man H CGE Proceedings of the conference on European design automation, (617-621)
  301. Agrawal V and Cheng K An architecture for synthesis of testable finite state machines Proceedings of the conference on European design automation, (612-616)
  302. Saucier G, Duff C and Poirot F State assignment of controllers for optimal area implementation Proceedings of the conference on European design automation, (547-551)
  303. Saucier G, Sicard P and Bouchet L Multi-level synthesis on PALs Proceedings of the conference on European design automation, (542-546)
  304. Diaz-Olavarrieta L and Zaky S A new synthesis technique for multilevel combinational circuits Proceedings of the conference on European design automation, (222-227)
  305. Mailhot F and De Micheli G Technology mapping using boolean matching and don't care sets Proceedings of the conference on European design automation, (212-216)
  306. ACM
    Davé U and Patel J A functional-level test generation methodology using two-level representations Proceedings of the 26th ACM/IEEE Design Automation Conference, (722-725)
  307. ACM
    Paulin P Horizontal partitioning of PLA-based finite state machines Proceedings of the 26th ACM/IEEE Design Automation Conference, (333-338)
  308. ACM
    Villa T and Sangiovanni-Vincentelli A NOVA: state assignment of finite state machines for optimal two-level logic implementations Proceedings of the 26th ACM/IEEE Design Automation Conference, (327-332)
  309. ACM
    Saldanha A, Wang A, Brayton R and Sangiovanni-Vincentelli A Multi-level logic simplification using don't cares and filters Proceedings of the 26th ACM/IEEE Design Automation Conference, (277-282)
  310. ACM
    Coppola A New methods in the analysis of logic minimization data and algorithms Proceedings of the 26th ACM/IEEE Design Automation Conference, (226-231)
  311. ACM
    Hwang T, Owens R and Irwin M Multi-level logic synthesis using communication complexity Proceedings of the 26th ACM/IEEE Design Automation Conference, (215-220)
  312. Bender E and Butler J (1989). On the Size of PLAs Required to Realize Binary and Multiple-Valued Functions, IEEE Transactions on Computers, 38:1, (82-98), Online publication date: 1-Jan-1989.
  313. ACM
    Keutzer K and Wolf W (1988). Anatomy of a hardware compiler, ACM SIGPLAN Notices, 23:7, (95-104), Online publication date: 1-Jul-1988.
  314. Zhu X and Breuer M (1988). Analysis of Testable PLA Designs, IEEE Design & Test, 5:4, (14-28), Online publication date: 1-Jul-1988.
  315. Wey C and Chang T PLAYGROUND Proceedings of the 25th ACM/IEEE Design Automation Conference, (421-426)
  316. Wehn N, Glesner M, Caesar K, Mann P and roth A A defect-tolerant and fully testable PLA Proceedings of the 25th ACM/IEEE Design Automation Conference, (22-33)
  317. ACM
    Gregory D, Bartlett K, deGeus A and Hachtel G SOCRATES: A system for automatically synthesizing and optimizing combinational logic Papers on Twenty-five years of electronic design automation, (580-586)
  318. ACM
    Keutzer K and Wolf W Anatomy of a hardware compiler Proceedings of the ACM SIGPLAN 1988 conference on Programming language design and implementation, (95-104)
  319. Pitchumani V and Soman S (1988). Functional Test Generation Based on Unate Function Theory, IEEE Transactions on Computers, 37:6, (756-760), Online publication date: 1-Jun-1988.
  320. Stroud C, Munoz R and Pierce D (1988). Behavioral Model Synthesis with Cones, IEEE Design & Test, 5:3, (22-30), Online publication date: 1-May-1988.
  321. Ha D and Reddy S (1988). On the Design of Pseudoexhaustive Testable PLAs, IEEE Transactions on Computers, 37:4, (468-472), Online publication date: 1-Apr-1988.
  322. Agrawal V, Cheng K, Johnson D and Sheng Lin T (1988). Designing Circuits with Partial Scan, IEEE Design & Test, 5:2, (8-15), Online publication date: 1-Mar-1988.
  323. ACM
    Nguyen L, Perkowdki M and Goldstein N PALMINI—fast Boolean minimizer for personal computers Proceedings of the 24th ACM/IEEE Design Automation Conference, (615-621)
  324. ACM
    Galivanche R and Reddy S A parallel PLA minimization program Proceedings of the 24th ACM/IEEE Design Automation Conference, (600-607)
  325. ACM
    Wu J, Ho W, Hu Y, Yun D and Yu H Function search from behavioral description of a digital system Proceedings of the 24th ACM/IEEE Design Automation Conference, (574-579)
  326. ACM
    Liu C, Saluja K and Upadhyaya J BIST-PLA: a built-in self-test design of large programmable logic arrays Proceedings of the 24th ACM/IEEE Design Automation Conference, (385-391)
  327. ACM
    Ma H, Devadas S, Sangiovanni-Vincentelli A and Wei R Logic verification algorithms and their parallel implementation Proceedings of the 24th ACM/IEEE Design Automation Conference, (283-290)
  328. ACM
    Devadas S, Ma H and Newton A On the verification of sequential machines at differing levels of abstraction Proceedings of the 24th ACM/IEEE Design Automation Conference, (271-276)
  329. ACM
    Trevillyan L An overview of logic synthesis systems Proceedings of the 24th ACM/IEEE Design Automation Conference, (166-172)
  330. Brayton R (1987). Factoring logic functions, IBM Journal of Research and Development, 31:2, (187-198), Online publication date: 1-Mar-1987.
  331. Kuo Y (1987). Generating Essential Primes for a Boolean Function with Multiple-Valued Inputs, IEEE Transactions on Computers, 36:3, (356-359), Online publication date: 1-Mar-1987.
  332. Cutler R and Muroga S (1987). Derivation of Minimal Sums for Completely Specified Functions, IEEE Transactions on Computers, 36:3, (277-292), Online publication date: 1-Mar-1987.
  333. Reddy S and Ha D (1987). A New Approach to the Design of Testable PLA's, IEEE Transactions on Computers, 36:2, (201-211), Online publication date: 1-Feb-1987.
  334. Bryant R (1986). Graph-Based Algorithms for Boolean Function Manipulation, IEEE Transactions on Computers, 35:8, (677-691), Online publication date: 1-Aug-1986.
  335. Coppola A An implementation of a state assignment heuristic Proceedings of the 23rd ACM/IEEE Design Automation Conference, (643-649)
  336. Gerveshi C Comparison of CMOS PLA and polycell representations of control logic Proceedings of the 23rd ACM/IEEE Design Automation Conference, (638-642)
  337. Devadas S and Newton A GENIE Proceedings of the 23rd ACM/IEEE Design Automation Conference, (631-637)
  338. Ma H and Sangiovanni-Vincentelli A Mixed-level fault coverage estimation Proceedings of the 23rd ACM/IEEE Design Automation Conference, (553-559)
  339. Kuo Y and Chou W Generating essential primes for a Boolean function with multiple-valued inputs Proceedings of the 23rd ACM/IEEE Design Automation Conference, (193-199)
  340. Sasao T MACDAS Proceedings of the 23rd ACM/IEEE Design Automation Conference, (86-93)
  341. de Geus A Logic synthesis and optimization benchmarks for the 1986 Design Automation Conference Proceedings of the 23rd ACM/IEEE Design Automation Conference
  342. Gregory D, Bartlett K, de Geus A and Hachtel G SOCRATES Proceedings of the 23rd ACM/IEEE Design Automation Conference, (79-85)
  343. van Laarhoven P, Aarts E and Davio M PHIPLA—a new algorithm for logic minimization Proceedings of the 22nd ACM/IEEE Design Automation Conference, (739-743)
  344. Bryant R Symbolic manipulation of Boolean functions using a graphical representation Proceedings of the 22nd ACM/IEEE Design Automation Conference, (688-694)
  345. Agrawal P, Agrawal V and Biswas N Multiple output minimization Proceedings of the 22nd ACM/IEEE Design Automation Conference, (674-680)
  346. Dagenais M, Agarwal V and Rumin N The McBOOLE logic minimizer Proceedings of the 22nd ACM/IEEE Design Automation Conference, (667-673)
  347. Camposano R Synthesis techniques for digital systems design Proceedings of the 22nd ACM/IEEE Design Automation Conference, (475-481)
  348. Wei R and Sangiovanni-Vincentelli A PLATYPUS Proceedings of the 22nd ACM/IEEE Design Automation Conference, (197-203)
Contributors
  • University of California, Berkeley
  • Department of Electrical Engineering and Computer Sciences
  • Massachusetts Institute of Technology
  • University of Colorado Boulder

Recommendations