skip to main content
Skip header Section
Switching and Finite Automata Theory: Computer Science SeriesNovember 1990
Publisher:
  • McGraw-Hill Higher Education
ISBN:978-0-07-035310-7
Published:01 November 1990
Pages:
658
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. Chen Y, Zheng L and Chang H (2023). Don’t-Care-Based Logic Optimization for Threshold Logic, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 42:9, (2980-2993), Online publication date: 1-Sep-2023.
  2. Giantamidis G, Tripakis S and Basagiannis S (2019). Learning Moore machines from input–output traces, International Journal on Software Tools for Technology Transfer (STTT), 23:1, (1-29), Online publication date: 1-Feb-2021.
  3. Jordon L and Moser P On the Difference Between Finite-State and Pushdown Depth SOFSEM 2020: Theory and Practice of Computer Science, (187-198)
  4. Jung E, Bossuet L, Choi S and Marchand C (2016). Identification of IP control units by state encoding and side channel verification, Microprocessors & Microsystems, 47:PA, (11-22), Online publication date: 1-Nov-2016.
  5. El-Fakih K, Haddad A, Aleb N and Yevtushenko N (2016). Heuristics for deriving distinguishing experiments of nondeterministic finite state machines, Applied Soft Computing, 49:C, (1175-1184), Online publication date: 1-Dec-2016.
  6. Tripakis S, Limaye R, Ravindran K, Wang G, Andrade H and Ghosal A (2016). Tokens vs. Signals, Journal of Signal Processing Systems, 85:1, (23-43), Online publication date: 1-Oct-2016.
  7. Kushik N, El-Fakih K, Yevtushenko N and Cavalli A (2016). On adaptive experiments for nondeterministic finite state machines, International Journal on Software Tools for Technology Transfer (STTT), 18:3, (251-264), Online publication date: 1-Jun-2016.
  8. ACM
    Jung E, Marchand C and Bossuet L Identification of embedded control units by state encoding and power consumption analysis Proceedings of the 30th Annual ACM Symposium on Applied Computing, (1957-1959)
  9. Kushik N and Yenigün H Heuristics for Deriving Adaptive Homing and Distinguishing Sequences for Nondeterministic Finite State Machines Proceedings of the 27th IFIP WG 6.1 International Conference on Testing Software and Systems - Volume 9447, (243-248)
  10. ACM
    Jung E, Hung C and Choi S FSM watermarks based on ordering of flip flops Proceedings of the 2014 Conference on Research in Adaptive and Convergent Systems, (258-262)
  11. Giambiasi N and Frydman C Timed synchronizing sequences Proceedings of the Symposium on Theory of Modeling & Simulation - DEVS Integrative, (1-7)
  12. Caron P, Flouret M and Mignot L k,l-Unambiguity and Quasi-Deterministic Structures Proceedings of the 8th International Conference on Language and Automata Theory and Applications - Volume 8370, (260-272)
  13. Tsai C, Wang C, Huang C and Chen Y Sensitization criterion for threshold logic circuits and its application Proceedings of the International Conference on Computer-Aided Design, (226-233)
  14. El-Fakih K, Gromov M, Shabaldina N and Yevtushenko N (2013). Distinguishing experiments for timed non deterministic finite state machines, Acta Cybernetica, 21:2, (205-222), Online publication date: 1-Feb-2013.
  15. Kushik N and Yevtushenko N On the length of homing sequences for nondeterministic finite state machines Proceedings of the 18th international conference on Implementation and Application of Automata, (220-231)
  16. ACM
    Capocchi L, Santucci J and Ville T Software test automation using DEVSimPy environment Proceedings of the 1st ACM SIGSIM Conference on Principles of Advanced Discrete Simulation, (343-348)
  17. ACM
    Broman D, Lee E, Tripakis S and Törngren M Viewpoints, formalisms, languages, and tools for cyber-physical systems Proceedings of the 6th International Workshop on Multi-Paradigm Modeling, (49-54)
  18. ACM
    Jung E, Hung C, Choi S and Yang M An efficient locking and unlocking method of sequential systems Proceedings of the 2012 ACM Research in Applied Computation Symposium, (428-433)
  19. ACM
    Palaniswamy A and Tragoudas S (2012). An efficient heuristic to identify threshold logic functions, ACM Journal on Emerging Technologies in Computing Systems, 8:3, (1-17), Online publication date: 1-Aug-2012.
  20. ACM
    Arora D, Hazela B and Saxena V (2012). Semantics for UML model transformation and generation of regular grammar, ACM SIGSOFT Software Engineering Notes, 37:3, (1-5), Online publication date: 16-May-2012.
  21. Viale P, Giambiasi N, Frydman C and Pinaton J Validating manufacturing processes using timed sequential machines Proceedings of the 2012 Symposium on Theory of Modeling and Simulation - DEVS Integrative M&S Symposium, (1-6)
  22. Prabhakar P and Viswanathan M Conformance testing of boolean programs with multiple faults Proceedings of the 14th joint IFIP WG 6.1 international conference and Proceedings of the 32nd IFIP WG 6.1 international conference on Formal Techniques for Distributed Systems, (101-117)
  23. Nakahara H, Sasao T and Matsuura M A low-cost and high-performance virus scanning engine using a binary CAM emulator and an MPU Proceedings of the 8th international conference on Reconfigurable Computing: architectures, tools and applications, (202-214)
  24. Nakahara H, Sasao T and Matsuura M A regular expression matching circuit based on a decomposed automaton Proceedings of the 7th international conference on Reconfigurable computing: architectures, tools and applications, (16-28)
  25. Kushik N, El-Fakih K and Yevtushenko N Preset and adaptive homing experiments for nondeterministic finite state machines Proceedings of the 16th international conference on Implementation and application of automata, (215-224)
  26. ACM
    Jung E, Hung C, Ma G and Choi S A unified design method utilizing decomposability and composability for secure systems Proceedings of the 2011 ACM Symposium on Research in Applied Computation, (272-276)
  27. ACM
    Jung E, Hung C, Ma G and Choi S The investigation of the structural properties of machines for the design of secure and trustworthy systems Proceedings of the 2011 ACM Symposium on Research in Applied Computation, (261-265)
  28. ACM
    Tripakis S, Andrade H, Ghosal A, Limaye R, Ravindran K, Wang G, Yang G, Kormerup J and Wong I Correct and non-defensive glue design using abstract models Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (59-68)
  29. ACM
    Lee T and Ye T (2011). A relational approach to functional decomposition of logic circuits, ACM Transactions on Database Systems, 36:2, (1-30), Online publication date: 1-May-2011.
  30. Kuo P, Wang C and Huang C On rewiring and simplification for canonicity in threshold logic circuits Proceedings of the International Conference on Computer-Aided Design, (396-403)
  31. Giambiasi N ISTSM Proceedings of the 2011 Symposium on Theory of Modeling & Simulation: DEVS Integrative M&S Symposium, (146-151)
  32. Pocci M, Demongodin I, Giambiasi N and Giua A Synchronizing sequences on not strongly connected Petri nets (Work-in-Progress) Proceedings of the 2011 Symposium on Theory of Modeling & Simulation: DEVS Integrative M&S Symposium, (45-50)
  33. Jiang T, Li M and Ravikumar B Basic notions in computational complexity Algorithms and theory of computation handbook, (19-19)
  34. Nikodem M Hardware implementation of artificial neural networks for arbitrary boolean functions with generalised threshold gate circuits Proceedings of the 9th Mexican international conference on Artificial intelligence conference on Advances in soft computing: Part II, (303-314)
  35. Giambiasi N Reducing the state space of incompletely specified timed Moore machines Proceedings of the 2010 Spring Simulation Multiconference, (1-8)
  36. A regular expression matching using non-deterministic finite automaton Proceedings of the Eighth ACM/IEEE International Conference on Formal Methods and Models for Codesign, (73-76)
  37. Gupta P, Zhang R and Jha N (2008). Automatic test generation for combinational threshold logic networks, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:8, (1035-1045), Online publication date: 1-Aug-2008.
  38. Berg T, Jonsson B and Raffelt H Regular inference for state machines using domains with equality tests Proceedings of the Theory and practice of software, 11th international conference on Fundamental approaches to software engineering, (317-331)
  39. Khasidashvili Z On formal equivalence verification of hardware Proceedings of the 3rd international conference on Computer science: theory and applications, (11-12)
  40. ACM
    Kim H and Lillis J A framework for layout-level logic restructuring Proceedings of the 2008 international symposium on Physical design, (87-94)
  41. Gowda T and Vrudhula S Decomposition based approach for synthesis of multi-level threshold logic circuits Proceedings of the 2008 Asia and South Pacific Design Automation Conference, (125-130)
  42. Kagaris D and Haniotakis T (2007). A methodology for transistor-efficient supergate design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:4, (488-492), Online publication date: 1-Apr-2007.
  43. Wang J, Li H, Xiao J and Lam C A bipartite graph matching approach to generate optimal test sequences for protocol conformance testing Proceedings of the third conference on IASTED International Conference: Advances in Computer Science and Technology, (147-152)
  44. Singh H, Mustapha A, Dixit A, Hua L and Gerhart G A new software complexity metrics for computer communication network Proceedings of the 11th IASTED International Conference on Software Engineering and Applications, (72-78)
  45. Dolev S and Fitoussi H The traveling beams optical solutions for bounded NP-complete problems Proceedings of the 4th international conference on Fun with algorithms, (120-134)
  46. Balluchi A, Mazzi E and Vincentelli A Complexity reduction for the design of interacting controllers Proceedings of the 10th international conference on Hybrid systems: computation and control, (46-60)
  47. Rutten J Coalgebraic foundations of linear systems Proceedings of the 2nd international conference on Algebra and coalgebra in computer science, (425-446)
  48. Holtmann M and Löding C Memory reduction for strategies in infinite games Proceedings of the 12th international conference on Implementation and application of automata, (253-264)
  49. Kolokotronis N, Limniotis K and Kalouptsidis N Efficient computation of the best quadratic approximations of cubic boolean functions Proceedings of the 11th IMA international conference on Cryptography and coding, (73-91)
  50. Pap Z, Subramaniam M, Kovács G and Németh3 G A bounded incremental test generation algorithm for finite state machines Proceedings of the 19th IFIP TC6/WG6.1 international conference, and 7th international conference on Testing of Software and Communicating Systems, (244-259)
  51. ACM
    Kim D A quantitative analysis of memory requirement and generalization performance for robotic tasks Proceedings of the 9th annual conference on Genetic and evolutionary computation, (285-292)
  52. ACM
    Gowda T, Vrudhula S and Konjevod G Combinational equivalence checking for threshold logic circuits Proceedings of the 17th ACM Great Lakes symposium on VLSI, (102-107)
  53. Doty D and Moser P Feasible Depth Proceedings of the 3rd conference on Computability in Europe: Computation and Logic in the Real World, (228-237)
  54. Lin T and Giles C (2007). Group-Linking Method, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E90-A:12, (2916-2929), Online publication date: 1-Dec-2007.
  55. ACM
    Yilmaz C and Williams C An automated model-based debugging approach Proceedings of the 22nd IEEE/ACM International Conference on Automated Software Engineering, (174-183)
  56. Kolokotronis N, Limniotis K and Kalouptsidis N Efficient Computation of the Best Quadratic Approximations of Cubic Boolean Functions Cryptography and Coding, (73-91)
  57. Krichen M and Tripakis S State-Identification problems for finite-state transducers Proceedings of the First combined international conference on Formal Approaches to Software Testing and Runtime Verification, (148-162)
  58. Harris I A coverage metric for the validation of interacting processes Proceedings of the conference on Design, automation and test in Europe: Proceedings, (1019-1024)
  59. Falchuk B, Shallcross D, Krishnan K, Morera R and Loeb S (2006). Modeling of IMS call flows, Journal of Mobile Multimedia, 2:3, (259-282), Online publication date: 1-Sep-2006.
  60. ACM
    Chiu G, Singh D, Manohararajah V and Brown S Mapping arbitrary logic functions into synchronous embedded memories for area reduction on FPGAs Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (135-142)
  61. ACM
    Zhang R and Jha N Threshold/majority logic synthesis and concurrent error detection targeting nanoelectronic implementations Proceedings of the 16th ACM Great Lakes symposium on VLSI, (8-13)
  62. Kagaris D and Haniotakis T Transistor-Level Optimization of Supergates Proceedings of the 7th International Symposium on Quality Electronic Design, (682-690)
  63. Barrett C, Hunt H, Marathe M, Ravi S, Rosenkrantz D and Stearns R (2006). Complexity of reachability problems for finite discrete dynamical systems, Journal of Computer and System Sciences, 72:8, (1317-1345), Online publication date: 1-Dec-2006.
  64. Chen J and Duan L Conditions for avoiding controllability problems in distributed testing Proceedings of the 8th international conference on Formal Methods and Software Engineering, (460-477)
  65. Yalcin M and Yenigun H Using distinguishing and UIO sequences together in a checking sequence Proceedings of the 18th IFIP TC6/WG6.1 international conference on Testing of Communicating Systems, (259-273)
  66. Gotzhein R and Khendek F Compositional testing of communication systems Proceedings of the 18th IFIP TC6/WG6.1 international conference on Testing of Communicating Systems, (227-244)
  67. Kumar V, Madhusudan P and Viswanathan M Minimization, learning, and conformance testing of boolean programs Proceedings of the 17th international conference on Concurrency Theory, (203-217)
  68. ACM
    Geng X Solving identification problem for asynchronous finite state machines using genetic algorithms Proceedings of the 8th annual conference on Genetic and evolutionary computation, (1413-1414)
  69. ACM
    Kim D Memory analysis and significance test for agent behaviours Proceedings of the 8th annual conference on Genetic and evolutionary computation, (151-158)
  70. Dorofeeva R, Yevtushenko N, El-Fakih K and Cavalli A EXPERIMENTAL EVALUATION OF FSM-BASED TESTING METHODS Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods, (23-32)
  71. Krichen M and Tripakis S An expressive and implementable formal framework for testing real-time systems Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems, (209-225)
  72. ACM
    Hable J and Rossignac J (2005). Blister, ACM Transactions on Graphics, 24:3, (1024-1031), Online publication date: 1-Jul-2005.
  73. ACM
    Hable J and Rossignac J Blister ACM SIGGRAPH 2005 Papers, (1024-1031)
  74. Petrenko A and Yevtushenko N (2005). Testing from Partial Deterministic FSM Specifications, IEEE Transactions on Computers, 54:9, (1154-1165), Online publication date: 1-Sep-2005.
  75. Palem K (2005). Energy Aware Computing through Probabilistic Switching, IEEE Transactions on Computers, 54:9, (1123-1137), Online publication date: 1-Sep-2005.
  76. Cunning S and Rozenblit J (2005). Automating test generation for discrete event oriented embedded systems, Journal of Intelligent and Robotic Systems, 41:2-3, (87-112), Online publication date: 10-Jan-2005.
  77. Kumar V and Viswanathan M Conformance testing in the presence of multiple faults Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms, (1136-1145)
  78. Miller R, Chen D, Lee D and Hao R Coping with nondeterminism in network protocol testing Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems, (129-145)
  79. Mneimneh M and Sakallah K (2005). Principles of Sequential-Equivalence Verification, IEEE Design & Test, 22:3, (248-257), Online publication date: 1-May-2005.
  80. Khasidashvili Z, Skaba M, Kaiss D and Hanna Z Theoretical framework for compositional sequential hardware equivalence verification in presence of design constraints Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (58-65)
  81. Godskesen J (2004). Connectivity Testing, Formal Methods in System Design, 25:1, (5-38), Online publication date: 1-Jul-2004.
  82. Gupta P and Jha N An Algorithm for Nano-Pipelining of Circuits and Architectures for a Nanotechnology Proceedings of the conference on Design, automation and test in Europe - Volume 2
  83. Tiwari A and Tomko K Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs Proceedings of the conference on Design, automation and test in Europe - Volume 2
  84. Zhang R, Gupta P, Zhong L and Jha N Synthesis and Optimization of Threshold Logic Networks with Application to Nanotechnologies Proceedings of the conference on Design, automation and test in Europe - Volume 2
  85. ACM
    Park S, Cho S, Yang S and Ciesielski M A new state assignment technique for testing and low power Proceedings of the 41st annual Design Automation Conference, (510-513)
  86. Dai J, Lathrop J, Lutz J and Mayordomo E (2004). Finite-state dimension, Theoretical Computer Science, 310:1-3, (1-33), Online publication date: 1-Jan-2004.
  87. Bajec I, Zimic N and Mraz M Fuzzifying the thoughts of animats Proceedings of the 10th international fuzzy systems association World Congress conference on Fuzzy sets and systems, (195-202)
  88. Ural H and Williams C Generating checking sequences for a distributed test architecture Proceedings of the 15th IFIP international conference on Testing of communicating systems, (146-162)
  89. Bailey M and Davidson J (2003). Automatic Detection and Diagnosis of Faults in Generated Code for Procedure Calls, IEEE Transactions on Software Engineering, 29:11, (1031-1042), Online publication date: 1-Nov-2003.
  90. Zhang F and Cheung T (2003). Optimal Transfer Trees and Distinguishing Trees for Testing Observable Nondeterministic Finite-State Machines, IEEE Transactions on Software Engineering, 29:1, (1-14), Online publication date: 1-Jan-2003.
  91. Gao F and Hayes J (2003). On-Line Monitor Design of Finite-State Machines, Journal of Electronic Testing: Theory and Applications, 19:5, (537-548), Online publication date: 1-Oct-2003.
  92. Baumgartner J, Heyman T, Singhal V and Aziz A (2003). An Abstraction Algorithm for the Verification of Level-Sensitive Latch-Based Netlists, Formal Methods in System Design, 23:1, (39-65), Online publication date: 1-Jul-2003.
  93. McCluskey E Switching theory Encyclopedia of Computer Science, (1727-1731)
  94. Brzozowski J Sequential machine Encyclopedia of Computer Science, (1564-1569)
  95. McCluskey E Logic design Encyclopedia of Computer Science, (1014-1016)
  96. ACM
    Bernasconi A, Ciriani V, Luccio F and Pagli L Fast three-level logic minimization based on autosymmetry Proceedings of the 39th annual Design Automation Conference, (425-430)
  97. ACM
    Aloul F, Ramani A, Markov I and Sakallah K Generic ILP versus specialized 0-1 ILP Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (450-457)
  98. ACM
    Corno F, Prinetto P, Rebaudengo M, Reorda M and Squillero G (2002). Initializability analysis of synchronous sequential circuits, ACM Transactions on Design Automation of Electronic Systems, 7:2, (249-264), Online publication date: 1-Apr-2002.
  99. Sanders W and Meyer J Stochastic activity networks Lectures on formal methods and performance analysis, (315-343)
  100. Choi B (2002). Applying Learning by Examples for Digital Design Automation, Applied Intelligence, 16:3, (205-221), Online publication date: 27-Feb-2002.
  101. Goldsmith J, Sloan R and Turán G (2002). Theory Revision with Queries, Machine Language, 47:2-3, (257-295), Online publication date: 1-May-2002.
  102. Rahaman H, Das D and Bhattacharya B A New Synthesis of Symmetric Functions Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  103. Natschläger T and Maass W (2002). Spiking neurons and the induction of finite state machines, Theoretical Computer Science, 287:1, (251-265), Online publication date: 25-Sep-2002.
  104. Lee D and Yannakakis M (2002). Closed Partition Lattice and Machine Decomposition, IEEE Transactions on Computers, 51:2, (216-228), Online publication date: 1-Feb-2002.
  105. Kremer S (2001). Spatiotemporal Connectionist Networks: A Taxonomy and Review, Neural Computation, 13:2, (249-306), Online publication date: 1-Feb-2001.
  106. Petrenko A Fault model-driven test derivation from finite state models Modeling and verification of parallel processes, (196-205)
  107. Peled D, Vardi M and Yannakakis M (2001). Black box checking, Journal of Automata, Languages and Combinatorics, 7:2, (225-246), Online publication date: 1-Nov-2001.
  108. ACM
    Shiu P, Tan Y and Mooney V A novel parallel deadlock detection algorithm and architecture Proceedings of the ninth international symposium on Hardware/software codesign, (73-78)
  109. Cota É, Lima F, Rezgui S, Carro L, Velazco R, Lubaszewski M and Reis R (2001). Synthesis of an 8051-Like Micro-Controller Tolerant to Transient Faults, Journal of Electronic Testing: Theory and Applications, 17:2, (149-161), Online publication date: 1-Apr-2001.
  110. Sinha S, Kuehlmann A and Brayton R Sequential SPFDs Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (84-90)
  111. On Detecting Global Predicates in Distributed Computations Proceedings of the The 21st International Conference on Distributed Computing Systems
  112. ACM
    Macchiarulo L, Shu S and Marek-Sadowska M Wave steered FSMs Proceedings of the conference on Design, automation and test in Europe, (270-276)
  113. ACM
    Jain J, Mohanram K, Moundanos D, Wegener I and Lu Y Analysis of composition complexity and how to obtain smaller canonical graphs Proceedings of the 37th Annual Design Automation Conference, (681-686)
  114. ACM
    Goldsmith J and Sloan R More theory revision with queries (extended abstract) Proceedings of the thirty-second annual ACM symposium on Theory of computing, (441-448)
  115. Utamaphethai N, Blanton R and Shen J (2000). A Buffer-Oriented Methodology for Microarchitecture Validation, Journal of Electronic Testing: Theory and Applications, 16:1-2, (49-65), Online publication date: 1-Feb-2000.
  116. Wermter S (2000). Knowledge Extraction from Transducer Neural Networks, Applied Intelligence, 12:1-2, (27-42), Online publication date: 1-Jan-2000.
  117. Love C and Jordan H (2000). Oscillatory Metastability in Optical Network Synchronizer Circuits, The Journal of Supercomputing, 16:3, (165-176), Online publication date: 1-Jul-2000.
  118. Utamaphethai N, Blanton R and Shen J (2000). Effectiveness of Microarchitecture Test Program Generation, IEEE Design & Test, 17:4, (38-49), Online publication date: 1-Oct-2000.
  119. Protheroe D and Pessolano F An Objective Measure of Digital System Design Quality Proceedings of the 1st International Symposium on Quality of Electronic Design
  120. Hayne R and Johnson B Behavioral Fault Modeling in a VHDL Synthesis Environment Proceedings of the 1999 17TH IEEE VLSI Test Symposium
  121. ACM
    Sloan R and Turán G On theory revision with queries Proceedings of the twelfth annual conference on Computational learning theory, (41-52)
  122. Luccio F and Pagli L (1999). On a New Boolean Function with Applications, IEEE Transactions on Computers, 48:3, (296-310), Online publication date: 1-Mar-1999.
  123. Becker B, Keim M and Krieger R (1999). Hybrid Fault Simulation for Synchronous Sequential Circuits, Journal of Electronic Testing: Theory and Applications, 15:3, (219-238), Online publication date: 1-Dec-1999.
  124. Shiny A and Pujari A (1999). An Efficient Algorithm to Generate Prime Implicants, Journal of Automated Reasoning, 22:2, (149-170), Online publication date: 1-Feb-1999.
  125. Ravi S, Lakshminarayana G and Jha N TAO Proceedings of the 1998 IEEE International Test Conference, (331-340)
  126. ACM
    Boppana V and Fuchs W Dynamic fault collapsing and diagnostic test pattern generation for sequential circuits Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (147-154)
  127. ACM
    Chung K and Liu C Local transformation techniques for multi-level logic circuits utilizing circuit symmetries for power reduction Proceedings of the 1998 international symposium on Low power electronics and design, (215-220)
  128. ACM
    Bender M, Fernández A, Ron D, Sahai A and Vadhan S The power of a pebble Proceedings of the thirtieth annual ACM symposium on Theory of computing, (269-278)
  129. Akella V, Vaidya N and Redinbo G (1998). Asynchronous Comparison-Based Decoders for Delay-Insensitive Codes, IEEE Transactions on Computers, 47:7, (802-811), Online publication date: 1-Jul-1998.
  130. Cabodi G, Camurati P, Corno F, Prinetto P and Reorda M (1998). The General Product Machine, Formal Methods in System Design, 12:3, (267-289), Online publication date: 1-Apr-1998.
  131. Wehbeh J and Saab D (1998). Initialization of Sequential Circuits and its Application to ATPG, Journal of Electronic Testing: Theory and Applications, 13:3, (259-271), Online publication date: 1-Dec-1998.
  132. Barrett G and Lafortune S (1998). Bisimulation, the Supervisory Control Problem and StrongModel Matching for Finite State Machines, Discrete Event Dynamic Systems, 8:4, (377-429), Online publication date: 1-Dec-1998.
  133. Lin B Efficient compilation of process-based concurrent programs without run-time scheduling Proceedings of the conference on Design, automation and test in Europe, (211-217)
  134. Wu W, Chen W and Tang C (1998). Research note, Computer Communications, 21:13, (1177-1183), Online publication date: 1-Sep-1998.
  135. Chou R and Saluja K Sequential Circuit Testing Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
  136. Wolf W (1997). Redundancy Removal during High-Level Synthesis Using Scheduling Don‘t-Cares, Journal of Electronic Testing: Theory and Applications, 11:3, (211-225), Online publication date: 1-Dec-1997.
  137. Ron D and Rubinfeld R (1997). Exactly Learning Automata of Small Cover Time, Machine Language, 27:1, (69-96), Online publication date: 1-Apr-1997.
  138. Pomeranz I and Reddy S On the use of reset to increase the testability of interconnected finite-state machines Proceedings of the 1997 European conference on Design and Test
  139. Boppana V and Fuchs W Integrated fault diagnosis targeting reduced simulation Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design, (681-684)
  140. Ashar P, Gupta A and Malik S Using complete-1-distinguishability for FSM equivalence checking Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design, (346-353)
  141. Hartanto I, Boppana V and Fuchs W Identification of unsettable flip-flops for partial scan and faster ATPG Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design, (63-66)
  142. Lee S and Lin W (1997). Formal Verification and Diagnosis of Combinational Circuit Designs with Propositional Logic, Fundamenta Informaticae, 31:1, (79-105), Online publication date: 1-Jan-1997.
  143. Pomeranz I and Reddy S (1997). Test Generation for Multiple State-Table Faults in Finite-State Machines, IEEE Transactions on Computers, 46:7, (783-794), Online publication date: 1-Jul-1997.
  144. ACM
    Bailey M and Davidson J (1996). Target-sensitive construction of diagnostic programs for procedure calling sequence generators, ACM SIGPLAN Notices, 31:5, (249-257), Online publication date: 1-May-1996.
  145. ACM
    Bailey M and Davidson J Target-sensitive construction of diagnostic programs for procedure calling sequence generators Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation, (249-257)
  146. Saxena N and McCluskey E (1996). Counting Two-State Transition-Tour Sequences, IEEE Transactions on Computers, 45:11, (1337-1342), Online publication date: 1-Nov-1996.
  147. Pomeranz I and Reddy S (1996). On Removing Redundancies from Synchronous Sequential Circuits with Synchronizing Sequences, IEEE Transactions on Computers, 45:1, (20-32), Online publication date: 1-Jan-1996.
  148. Panda P and Dutt N Reducing Address Bus Transitions for Low Power Memory Mapping Proceedings of the 1996 European conference on Design and Test
  149. Pomeranz I and Reddy S On Test Generation for Interconnected Finite-State Machines - The Output Sequence Justification Problem Proceedings of the 1996 European conference on Design and Test
  150. Baker W and Newton A The maximal VHDL subset with a cycle-level abstraction Proceedings of the conference on European design automation, (470-475)
  151. Lin Y and Wu T Storage optimization by replacing some flip-flops with latches Proceedings of the conference on European design automation, (296-301)
  152. ACM
    Ron D and Rubinfeld R Exactly learning automata with small cover time Proceedings of the eighth annual conference on Computational learning theory, (427-436)
  153. ACM
    Alur R, Courcoubetis C and Yannakakis M Distinguishing tests for nondeterministic and probabilistic machines Proceedings of the twenty-seventh annual ACM symposium on Theory of computing, (363-372)
  154. ACM
    Coudert O and Madre J New ideas for solving covering problems Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (641-646)
  155. ACM
    Krieger R, Becker B and Keim M Symbolic fault simulation for sequential circuits and the multiple observation time test strategy Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (339-344)
  156. ACM
    Stanion T and Sechen C A method for finding good Ashenhurst decompositions and its application to FPGA synthesis Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (60-64)
  157. Chen Y and Liu J (1995). A Fault-Tolerant Distributed Subcube Management Scheme for Hypercube Multicomputer Systems, IEEE Transactions on Parallel and Distributed Systems, 6:7, (766-772), Online publication date: 1-Jul-1995.
  158. Cheung T and Ye X (1995). A Fault-Detection Approach to the Conformance Testing of Nondeterministic Systems, Journal of Parallel and Distributed Computing, 28:1, (94-100), Online publication date: 1-Jul-1995.
  159. Saluja E Synthesizing Finite State Machines for Minimum Length Synchronizing Sequence Using Partial Scan Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
  160. ACM
    Bhagwati V and Devadas S Automatic verification of pipelined microprocessors Proceedings of the 31st annual Design Automation Conference, (603-608)
  161. ACM
    Cabodi G, Camurati P and Quer S Auxiliary variables for extending symbolic traversal techniques to data paths Proceedings of the 31st annual Design Automation Conference, (289-293)
  162. ACM
    Daga A and Birmingham W The minimization and decomposition of interface state machines Proceedings of the 31st annual Design Automation Conference, (120-125)
  163. Luo G, von Bochmann G and Petrenko A (1994). Test Selection Based on Communicating Nondeterministic Finite-State Machines Using a Generalized Wp-Method, IEEE Transactions on Software Engineering, 20:2, (149-162), Online publication date: 1-Feb-1994.
  164. Pomeranz I and Reddy S (1994). Application of Homing Sequences to Synchronous Sequential Circuit Testing, IEEE Transactions on Computers, 43:5, (569-580), Online publication date: 1-May-1994.
  165. van der Meyden R Common knowledge and update in finite environments. I Proceedings of the 5th conference on Theoretical aspects of reasoning about knowledge, (225-242)
  166. ACM
    Naqvi S, Imielinski T, Kohli M and Vadaparty K Speculative query evaluation over databases of plans Proceedings of the second international conference on Information and knowledge management, (439-450)
  167. ACM
    Haworth M and Birmingham W Towards optimal system-level design Proceedings of the 30th international Design Automation Conference, (434-438)
  168. ACM
    Yuan D, Pillage L and Rahmeh J Evaluation of parts by mixed-level DC-connected components in logic simulation Proceedings of the 30th international Design Automation Conference, (367-372)
  169. Pomeranz I and Reddy S (1993). Classification of Faults in Synchronous Sequential Circuits, IEEE Transactions on Computers, 42:9, (1066-1077), Online publication date: 1-Sep-1993.
  170. Vinnakota B and Jha N (1993). Diagnosability and Diagnosis of Algorithm-Based Fault-Tolerant Systems, IEEE Transactions on Computers, 42:8, (924-937), Online publication date: 1-Aug-1993.
  171. Ryan P, Fuchs W and Pomeranz I Fault dictionary compression and equivalence class computation for sequential circuits Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design, (508-511)
  172. Pomeranz I and Reddy S On diagnosis and correction of design errors Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design, (500-507)
  173. Monteiro J, Devadas S and Ghosh A Retiming sequential circuits for low power Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design, (398-402)
  174. Ural H and Zhu K (1993). Optimal length test sequence generation using distinguishing sequences, IEEE/ACM Transactions on Networking, 1:3, (358-371), Online publication date: 1-Jun-1993.
  175. ACM
    Shen X and Li G A new protocol conformance test generation method and experimental results Proceedings of the 1992 ACM/SIGAPP Symposium on Applied computing: technological challenges of the 1990's, (75-84)
  176. ACM
    Moran S, Taubenfeld G and Yadin I Concurrent counting Proceedings of the eleventh annual ACM symposium on Principles of distributed computing, (59-70)
  177. Pomeranz I and Reddy S (1992). The Multiple Observation Time Test Strategy, IEEE Transactions on Computers, 41:5, (627-637), Online publication date: 1-May-1992.
  178. Kimura S, Kashima S and Haneda H Precise timing verification of logic circuits under combined delay model Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (526-529)
  179. Kumar N and Vemuri R Finite state machine verification on MIMD machines Proceedings of the conference on European design automation, (514-520)
  180. Cabodi G, Camurati P, Corno F, Prinetto P and Reorda M Cross-fertilizing FSM verification techniques and sequential diagnosis Proceedings of the conference on European design automation, (306-311)
  181. Kam T and Subrahmanyam P State machine abstraction from circuit layouts using BDD's Proceedings of the conference on European design automation, (92-97)
  182. Cabodi G, Camurati P, Corno F, Gai S, Prinetto P and Reorda M A new model for improving symbolic product machine traversal Proceedings of the 29th ACM/IEEE Design Automation Conference, (614-619)
  183. Pomeranz I and Cheng K State assignment using input/output functions Proceedings of the 29th ACM/IEEE Design Automation Conference, (573-577)
  184. Damiani M and De Micheli G Recurrence equations and the optimization of synchronous logic circuits Proceedings of the 29th ACM/IEEE Design Automation Conference, (556-561)
  185. Seawright A and Brewer F Synthesis from production-based specifications Proceedings of the 29th ACM/IEEE Design Automation Conference, (194-199)
  186. ACM
    Ladd M and Birmingham W Synthesis of multiple-input change asynchronous finite state machines Proceedings of the 28th ACM/IEEE Design Automation Conference, (309-314)
  187. ACM
    Wolf W The FSM network model for behavioral synthesis of control-dominated machines Proceedings of the 27th ACM/IEEE Design Automation Conference, (692-697)
  188. ACM
    Khan A, Marudarajan A and Goben C (1991). Neural network approach to zero-one optimal covering problem, ACM SIGSIM Simulation Digest, 21:3, (8-17), Online publication date: 1-Apr-1991.
  189. ACM
    Yannakakis M and Lee D Testing finite state machines Proceedings of the twenty-third annual ACM symposium on Theory of Computing, (476-485)
  190. Boyd S and Ural H (1991). On the Complexity of Generating Optimal Test Sequences, IEEE Transactions on Software Engineering, 17:9, (976-978), Online publication date: 1-Sep-1991.
  191. Thomas R and Kundu S Synthesis of fully testable sequential machines Proceedings of the conference on European design automation, (283-288)
  192. Kannan L and Sarma D Fast heuristic algorithms for finite state machine minimization Proceedings of the conference on European design automation, (192-196)
  193. Hachtel G, Rho J, Somenzi F and Jacoby R Exact and heuristic algorithms for the minimization of incompletely specified state machines Proceedings of the conference on European design automation, (184-191)
  194. Khan A, Marudarajan A and Goben C Neural network approach to zero-one optimal covering problem Proceedings of the 24th annual symposium on Simulation, (8-17)
  195. Avedillo M, Quintana J and Huertas J A new method for the state reduction of incompletely specified finite sequential machines Proceedings of the conference on European design automation, (552-556)
  196. Jay C Experience in functional-level test generation and fault coverage in a silicon compiler Proceedings of the conference on European design automation, (485-490)
  197. ACM
    Dreizen H and Chang S (1989). Imprecise schema: a rationale for relations with embedded subrelations, ACM Transactions on Database Systems, 14:4, (447-479), Online publication date: 1-Dec-1989.
  198. ACM
    Jang J, Choi S and Cho W A new approach to processor allocation and task migration in an N-cube multiprocessor Proceedings of the 1989 ACM/IEEE conference on Supercomputing, (314-325)
  199. ACM
    Chan W, Vuong C and Otp M (1989). An improved protocol test generation procedure based on UIOS, ACM SIGCOMM Computer Communication Review, 19:4, (283-294), Online publication date: 1-Aug-1989.
  200. ACM
    Chan W, Vuong C and Otp M An improved protocol test generation procedure based on UIOS Symposium proceedings on Communications architectures & protocols, (283-294)
  201. ACM
    Rivest R and Schapire R Inference of finite automata using homing sequences Proceedings of the twenty-first annual ACM symposium on Theory of computing, (411-420)
  202. Sidhu D and Leung T (1989). Formal Methods for Protocol Testing, IEEE Transactions on Software Engineering, 15:4, (413-426), Online publication date: 1-Apr-1989.
  203. Alaghband G and Jordan H (1989). Sparse Gaussian Elimination with Controlled Fill-In on a Shared Memory Multiprocessor, IEEE Transactions on Computers, 38:11, (1539-1557), Online publication date: 1-Nov-1989.
  204. Ghafoor A, Bashkow T and Ghafoor I (1989). Bisectional Fault-Tolerant Communication Architecture for Supercomputer Systems, IEEE Transactions on Computers, 38:10, (1425-1446), Online publication date: 1-Oct-1989.
  205. Liu J and Shin K (1989). Polynomial Testing of Packet Switching Networks, IEEE Transactions on Computers, 38:2, (202-217), Online publication date: 1-Feb-1989.
  206. ACM
    Kandlur D and Shin K Hypercube management in the presence of node failures Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1, (328-336)
  207. ACM
    Gouda A, Maxemchuk N, Mukherji U and Sabnani K (1988). Delivery and discrimination: the Seine protocol, ACM SIGCOMM Computer Communication Review, 18:4, (292-302), Online publication date: 1-Aug-1988.
  208. ACM
    Sidhu D and Leung T (1988). Experience with test generation for real protocols, ACM SIGCOMM Computer Communication Review, 18:4, (257-261), Online publication date: 1-Aug-1988.
  209. ACM
    Gouda A, Maxemchuk N, Mukherji U and Sabnani K Delivery and discrimination: the Seine protocol Symposium proceedings on Communications architectures and protocols, (292-302)
  210. ACM
    Sidhu D and Leung T Experience with test generation for real protocols Symposium proceedings on Communications architectures and protocols, (257-261)
  211. Berthet C and Cerny E (1988). An Algebraic Model for Asynchronous Circuits Verification, IEEE Transactions on Computers, 37:7, (835-847), Online publication date: 1-Jul-1988.
  212. Reddy S and Ha D (1987). A New Approach to the Design of Testable PLA's, IEEE Transactions on Computers, 36:2, (201-211), Online publication date: 1-Feb-1987.
  213. ACM
    Ahituv N (1987). A metamodel of information flow: a tool to support information systems theory, Communications of the ACM, 30:9, (781-791), Online publication date: 1-Sep-1987.
  214. Tung L and Mills M A new approach of stuck-at fault simulation for synchronous digital systems Proceedings of the 20th annual symposium on Simulation, (13-22)
  215. Ramachandran V (1986). Algorithmic Aspects of MOS VLSI Switch-Level Simulation with Race Detection, IEEE Transactions on Computers, 35:5, (462-475), Online publication date: 1-May-1986.
  216. ACM
    Hurson A and Shirazi S The design of a hardware recognizer for utilization in scanning operations Proceedings of the 1985 ACM thirteenth annual conference on Computer Science, (112-119)
  217. Meshkinpour F and Ercegovac M A functional language for description and design of digital systems Proceedings of the 22nd ACM/IEEE Design Automation Conference, (238-244)
  218. Varshney P and Hartmann C (1984). Sequential Fault Diagnosis of Modular Systems, IEEE Transactions on Computers, 33:2, (194-197), Online publication date: 1-Feb-1984.
  219. Reddy S, Agrawal V and Jain S A gate level model for CMOS combinational logic circuits with application to fault detection Proceedings of the 21st Design Automation Conference, (504-509)
  220. Bellon C and Velazco R Taking into account asynchronous signals in functional test of complex circuits Proceedings of the 21st Design Automation Conference, (490-496)
  221. Barros J and Johnson B (1983). Equivalence of the Arbiter, the Synchronizer, the Latch, and the Inertial Delay, IEEE Transactions on Computers, 32:7, (603-614), Online publication date: 1-Jul-1983.
  222. ACM
    Sarikaya B and Bochmann G Synchronization issues in protocol testing Proceedings of the symposium on Communications Architectures & Protocols, (121-128)
  223. ACM
    Sarikaya B and Bochmann G (1983). Synchronization issues in protocol testing, ACM SIGCOMM Computer Communication Review, 13:2, (121-128), Online publication date: 1-Apr-1983.
  224. Jain S and Susskind A Test strategy for microprocessers Proceedings of the 20th Design Automation Conference, (703-708)
  225. Ramanatha K and Biswas N (1983). An On-Line Algorithm for the Location of Cross Point Faults in Programmable Logic Arrays, IEEE Transactions on Computers, 32:5, (438-444), Online publication date: 1-May-1983.
  226. Ramanatha K and Biswas N (1983). A Design for Testability of Undetectable Crosspoint Faults in Programmable Logic Arrays, IEEE Transactions on Computers, 32:6, (551-557), Online publication date: 1-Jun-1983.
  227. Teel B and Wilde D A logic minimizer for VLSI PLA design Proceedings of the 19th Design Automation Conference, (156-162)
  228. Varshney P, Hartmann C and De Faria J (1982). Application of Information Theory to Sequential Fault Diagnosis, IEEE Transactions on Computers, 31:2, (164-170), Online publication date: 1-Feb-1982.
  229. Bilgory A and Gajski D Automatic generation of cells for recurrence structures Proceedings of the 18th Design Automation Conference, (306-313)
  230. Bellon C, Saucier G and Gobbi J Hardware description levels and test for complex circuits Proceedings of the 18th Design Automation Conference, (213-219)
  231. Sung Je Hong (1981). Existence Algorithms for Synchronizing/Distinguishing Sequences, IEEE Transactions on Computers, 30:3, (234-237), Online publication date: 1-Mar-1981.
  232. Sengupta A, Chattopadhyay D, Palit A, Bandyopadhyay A and Choudhury A (1981). Realization of Fault-Tolerant Machines Linear Code Application, IEEE Transactions on Computers, 30:3, (237-240), Online publication date: 1-Mar-1981.
  233. ACM
    Dromard D and Dromard F (1980). Algorithm implementation on specific microprogrammed structure, ACM SIGMICRO Newsletter, 11:3-4, (23-29), Online publication date: 1-Dec-1980.
  234. Dromard D and Dromard F Algorithm implementation on specific microprogrammed structure Proceedings of the 13th annual workshop on Microprogramming, (23-29)
  235. ACM
    Reed D and Kanodia R (1979). Synchronization with eventcounts and sequencers, Communications of the ACM, 22:2, (115-123), Online publication date: 1-Feb-1979.
  236. Turnbull C and Lee E (1979). Generalized deterministic left to right parsing, Acta Informatica, 12:3, (187-207), Online publication date: 1-Oct-1979.
  237. ACM
    Woodard S and Metze G Self-checking alternating logic Proceedings of the 5th annual symposium on Computer architecture, (114-122)
  238. ACM
    Linn J Techniques for the construction of small and fast lexical analyzers Proceedings of the 16th annual Southeast regional conference, (265-268)
  239. Agerwala T (1976). Microprogram Optimization, IEEE Transactions on Computers, 25:10, (962-973), Online publication date: 1-Oct-1976.
  240. ACM
    Aho A and Corasick M (1975). Efficient string matching, Communications of the ACM, 18:6, (333-340), Online publication date: 1-Jun-1975.
  241. ACM
    Clark D Hardware systems in the core curriculum of a computer science ph.d. program Proceedings of the fourth SIGCSE technical symposium on Computer science education, (106-110)
  242. ACM
    Agerwala T A survey of techniques to reduce/minimize the control part/rom of a microprogrammed digital computer Conference record of the 7th annual workshop on Microprogramming, (91-97)
  243. ACM
    Clark D (1974). Hardware systems in the core curriculum of a computer science ph.d. program, ACM SIGCSE Bulletin, 6:1, (106-110), Online publication date: 1-Feb-1974.
  244. ACM
    Chang H, Chen T and Tung C The realization of symmetric switching functions using magnetic bubble technology Proceedings of the June 4-8, 1973, national computer conference and exposition, (413-420)
  245. Gönenç G Unique decipherability of codes with constraints with application to syllabification of Turkish words Proceedings of the 5th conference on Computational linguistics - Volume 1, (183-194)
  246. ACM
    Szygenda S, Hemming C and Hemphill J Time flow mechanisms for use in digital logic simulation Proceedings of the 5th conference on Winter simulation, (488-495)
  247. ACM
    Seitz C Asynchronous machines exhibiting concurrency Record of the Project MAC conference on concurrent systems and parallel computation, (93-106)
Contributors
  • Technion - Israel Institute of Technology
  • Nokia Bell Labs
  • Stanford University

Index Terms

  1. Switching and Finite Automata Theory: Computer Science Series

      Recommendations