skip to main content
Skip header Section
Low Power Digital CMOS DesignJune 1995
Publisher:
  • Kluwer Academic Publishers
  • 101 Philip Drive Assinippi Park Norwell, MA
  • United States
ISBN:978-0-7923-9576-8
Published:01 June 1995
Pages:
409
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. ACM
    Dwivedi S, Khare K and Dadoria A Low-Power High Speed 1-bit Full Adder Circuit Design Proceedings of the Second International Conference on Information and Communication Technology for Competitive Strategies, (1-5)
  2. Chen K (2019). A Low-Memory-Access Length-Adaptive Architecture for 2$$^n$$n-Point FFT, Circuits, Systems, and Signal Processing, 34:2, (459-482), Online publication date: 1-Feb-2015.
  3. Hazra A, Goyal S, Dasgupta P and Pal A (2018). Formal verification of architectural power intent, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21:1, (78-91), Online publication date: 1-Jan-2013.
  4. ACM
    Huang P, Moreira O, Goossens K and Molnos A Throughput-constrained voltage and frequency scaling for real-time heterogeneous multiprocessors Proceedings of the 28th Annual ACM Symposium on Applied Computing, (1517-1524)
  5. ACM
    Sekar K Power and thermal challenges in mobile devices Proceedings of the 19th annual international conference on Mobile computing & networking, (363-368)
  6. ACM
    McIntire D, Stathopoulos T, Reddy S, Schmidt T and Kaiser W (2012). Energy-Efficient Sensing with the Low Power, Energy Aware Processing (LEAP) Architecture, ACM Transactions on Embedded Computing Systems (TECS), 11:2, (1-36), Online publication date: 1-Jul-2012.
  7. Wairya S, Nagaria R and Tiwari S (2012). Performance analysis of high speed hybrid CMOS full adder circuits for low voltage VLSI design, VLSI Design, 2012, (7-7), Online publication date: 1-Jan-2012.
  8. Saripalli V, Datta S, Narayanan V and Kulkarni J Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, (45-52)
  9. Andrei A, Eles P, Jovanovic O, Schmitz M, Ogniewski J and Peng Z (2011). Quasi-static voltage scaling for energy minimization with time constraints, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19:1, (10-23), Online publication date: 1-Jan-2011.
  10. ACM
    Vaidya S and Dandekar D A hierarchical design of high performance 8x8 bit multiplier based on Vedic mathematics Proceedings of the 2011 International Conference on Communication, Computing & Security, (383-386)
  11. Gupta K, Sridhar R, Chaudhary J, Pandey N and Gupta M (2011). New low-power tristate circuits in positive feedback source-coupled logic, Journal of Electrical and Computer Engineering, 2011, (1-6), Online publication date: 1-Jan-2011.
  12. Ferry N, Ducloyer S, Julien N and Jutel D Energy estimator for weather forecasts dynamic power management of wireless sensor networks Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation, (122-132)
  13. Henry M and Nazhandali L Hybrid super/subthreshold design of a low power scalable-throughput FFT architecture Transactions on High-Performance Embedded Architectures and Compilers IV, (175-194)
  14. Gillan C, Steinke T, Bock J, Borchert S, Spence I and Scott N Programming Challenges for the Implementation of Numerical Quadrature in Atomic Physics on FPGA and GPU Accelerators Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing, (757-762)
  15. Chen X, Xu C and Dick R Memory access aware on-line voltage control for performance and energy optimization Proceedings of the International Conference on Computer-Aided Design, (365-372)
  16. Rapaport I and Rémila E Average long-lived memoryless consensus Proceedings of the 17th international conference on Structural Information and Communication Complexity, (114-126)
  17. Becker F, Rajsbaum S, Rapaport I and Rémila E (2010). Average long-lived binary consensus, Theoretical Computer Science, 411:14-15, (1558-1566), Online publication date: 1-Mar-2010.
  18. Vijayakumar S and Karthikeyan B Mixed style of low power multiplexer design for arithmetic architectures using 90nm technology Proceedings of the 12th international conference on Networking, VLSI and signal processing, (83-87)
  19. ACM
    Saravanan S and Madheswaran M Design and analysis of a hybrid encoded low power multiplier with reduced transition activity technique Proceedings of the International Conference and Workshop on Emerging Trends in Technology, (986-990)
  20. ACM
    Bini E, Buttazzo G and Lipari G (2009). Minimizing CPU energy in real-time systems with discrete speed management, ACM Transactions on Embedded Computing Systems, 8:4, (1-23), Online publication date: 1-Jul-2009.
  21. ACM
    Bao M, Andrei A, Eles P and Peng Z On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration Proceedings of the 46th Annual Design Automation Conference, (490-495)
  22. ACM
    Ge Z, Mitra T and Wong W A DVS-based pipelined reconfigurable instruction memory Proceedings of the 46th Annual Design Automation Conference, (897-902)
  23. Dabiri F, Vahdatpour A, Potkonjak M and Sarrafzadeh M Energy minimization for real-time systems with non-convex and discrete operation modes Proceedings of the Conference on Design, Automation and Test in Europe, (1416-1421)
  24. ACM
    Bao M, Andrei A, Eles P and Peng Z Temperature-aware voltage selection for energy optimization Proceedings of the conference on Design, automation and test in Europe, (1083-1086)
  25. Becker F, Rajsbaum S, Rapaport I and Rémila É Average Binary Long-Lived Consensus Proceedings of the 15th international colloquium on Structural Information and Communication Complexity, (48-60)
  26. Andrei A, Eles P, Peng Z, Schmitz M and Al Hashimi B (2018). Energy optimization of multiprocessor systems on chip by voltage selection, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:3, (262-275), Online publication date: 1-Mar-2007.
  27. Zhang L, Wilson J, Bashirullah R, Luo L, Xu J and Franzon P (2018). Voltage-mode driver preemphasis technique for on-chip global buses, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:2, (231-236), Online publication date: 1-Feb-2007.
  28. ACM
    Raghunandan C, Sainarayanan K and Srinivas M Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects Proceedings of the 17th ACM Great Lakes symposium on VLSI, (371-376)
  29. ACM
    Lin I, Ling T and Chang Y Statistical circuit optimization considering device andinterconnect process variations Proceedings of the 2007 international workshop on System level interconnect prediction, (47-54)
  30. ACM
    Niemier M, Alam M, Hu X, Bernstein G, Porod W, Putney M and DeAngelis J Clocking structures and power analysis for nanomagnet-based logic devices Proceedings of the 2007 international symposium on Low power electronics and design, (26-31)
  31. ACM
    Fei Y, Ravi S, Raghunathan A and Jha N (2007). Energy-optimizing source code transformations for operating system-driven embedded software, ACM Transactions on Embedded Computing Systems, 7:1, (1-26), Online publication date: 1-Dec-2007.
  32. ACM
    Terechko A and Corporaal H (2007). Inter-cluster communication in VLIW architectures, ACM Transactions on Architecture and Code Optimization (TACO), 4:2, (11-es), Online publication date: 1-Jun-2007.
  33. Buttazzo G (2006). Achieving Scalability in Real-Time Systems, Computer, 39:5, (54-59), Online publication date: 1-May-2006.
  34. Kitahara T, Hara H, Shiratake S, Tsukiboshi Y, Yoda T, Utsumi T and Minami F Low-power design methodology for module-wise dynamic voltage and frequency scaling with dynamic de-skewing systems Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (533-540)
  35. ACM
    Buergin F, Carbognani F, Hediger M, Meier H, Meyer-Piening R, Santschi R, Kaeslin H, Felber N and Fichtner W Low-power architectural trade-offs in a VLSI implementation of an adaptive hearing aid algorithm Proceedings of the 43rd annual Design Automation Conference, (558-561)
  36. ACM
    Cheung W and Wong N Power optimization in a repeater-inserted interconnect via geometric programming Proceedings of the 2006 international symposium on Low power electronics and design, (226-231)
  37. ACM
    Hu F and Agrawal V Input-specific dynamic power optimization for VLSI circuits Proceedings of the 2006 international symposium on Low power electronics and design, (232-237)
  38. Carbognani F, Buergin F, Felber N, Kaeslin H and Fichtner W Two-phase resonant clocking for ultra-low-power hearing aid applications Proceedings of the conference on Design, automation and test in Europe: Proceedings, (73-78)
  39. Jeong T and Lee J Design and verification for hierarchical power efficiency system (HPES) design techniques using low power CMOS digital logic Proceedings of the 6th international conference on Computational Science - Volume Part I, (761-768)
  40. Chandar S, Mehendale M and Govindarajan R (2006). Area and Power Reduction of Embedded DSP Systems using Instruction Compression and Re-configurable Encoding, Journal of VLSI Signal Processing Systems, 44:3, (245-267), Online publication date: 1-Sep-2006.
  41. Gupta P, Kahng A and Muddu S (2018). Quantifying Error in Dynamic Power Estimation of CMOS Circuits, Analog Integrated Circuits and Signal Processing, 42:3, (253-264), Online publication date: 1-Mar-2005.
  42. Hariyama M, Aoyama T and Kameyama M (2005). Genetic Approach to Minimizing Energy Consumption of VLSI Processors Using Multiple Supply Voltages, IEEE Transactions on Computers, 54:6, (642-650), Online publication date: 1-Jun-2005.
  43. Youssef A, Anis M and Elmasry M (2018). POMR, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13:3, (297-307), Online publication date: 1-Mar-2005.
  44. Chang C, Gu J and Zhang M (2018). A review of 0.18-µm full adder performances for tree structured arithmetic circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13:6, (686-695), Online publication date: 1-Jun-2005.
  45. ACM
    Hu F and Agrawal V Dual-transition glitch filtering in probabilistic waveform power estimation Proceedings of the 15th ACM Great Lakes symposium on VLSI, (357-360)
  46. ACM
    Fischer J, Teichmann P and Schmitt-Landsiedel D Scaling trends in adiabatic logic Proceedings of the 2nd conference on Computing frontiers, (427-434)
  47. ACM
    Azizi N, Khellah M, De V and Najm F Variations-aware low-power design with voltage scaling Proceedings of the 42nd annual Design Automation Conference, (529-534)
  48. Kakarountas A, Michail H, Kokkinos V, Spiliotopoulos V, Nikolaidis S and Goutis C High-level safety mechanisms for safety-critical application-specific low power devices Proceedings of the 9th WSEAS International Conference on Computers, (1-5)
  49. Zuber P, Windschieg A, Otalora R, Stechele W and Herkersdorf A Reduction of CMOS Power Consumption and Signal Integrity Issues by Routing Optimization Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (986-987)
  50. Coburn J, Ravi S and Raghunathan A Hardware Accelerated Power Estimation Proceedings of the conference on Design, Automation and Test in Europe - Volume 1, (528-529)
  51. Andrei A, Schmitz M, Eles P, Peng Z and Hashimi B Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints Proceedings of the conference on Design, Automation and Test in Europe - Volume 1, (514-519)
  52. Chen W, Jiang F, Zheng W and Zhang P A dynamic energy conservation scheme for clusters in computing centers Proceedings of the Second international conference on Embedded Software and Systems, (244-255)
  53. Yeon G, Jun C, Hwang T, Lee S and Wee J Low-Power MPEG-4 motion estimator design for deep sub-micron multimedia soc Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part III, (449-455)
  54. Raja T, Agrawal V and Bushnell M Design of variable input delay gates for low dynamic power circuits Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (436-445)
  55. ACM
    Kadayif I, Kandemir M, Chen G, Vijaykrishnan N, Irwin M and Sivasubramaniam A (2005). Compiler-directed high-level energy estimation and optimization, ACM Transactions on Embedded Computing Systems (TECS), 4:4, (819-850), Online publication date: 1-Nov-2005.
  56. Zou S, Wu H and Cheng S (2005). Adaptive power saving mechanisms for DCF in IEEE 802.11, Mobile Networks and Applications, 10:5, (763-770), Online publication date: 1-Oct-2005.
  57. Hong S, Chin S, Kim S and Hwang W (2004). Power Reduction Technique in Coefficient Multiplications Through Multiplier Characterization, Journal of VLSI Signal Processing Systems, 38:2, (101-113), Online publication date: 1-Sep-2004.
  58. Andrei A, Schmitz M, Eles P, Peng Z and Al Hashimi B Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time-constrained systems Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (362-369)
  59. Wadekar S and Parker A (2018). Interconnect-based system-level energy and power prediction to guide architecture exploration, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12:4, (373-380), Online publication date: 1-Apr-2004.
  60. Wang W, Raghunathan A, Lakshminarayana G and Jha N (2004). Input space adaptive design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12:6, (590-602), Online publication date: 1-Jun-2004.
  61. ACM
    Liu Y and Furber S The design of a low power asynchronous multiplier Proceedings of the 2004 international symposium on Low power electronics and design, (301-306)
  62. ACM
    Kumar A and Tiwari S Defect tolerance for nanocomputer architecture Proceedings of the 2004 international workshop on System level interconnect prediction, (89-96)
  63. ACM
    Chheda S, Unsal O, Koren I, Krishna C and Moritz C Combining compiler and runtime IPC predictions to reduce energy in next generation architectures Proceedings of the 1st conference on Computing frontiers, (240-254)
  64. Cha M, Lyuh C and Kim T Resource-constrained low-power bus encoding with crosstalk delay elimination Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (834-837)
  65. Andrei A, Schmitz M, Eles P, Peng Z and Al-Hashimi B Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems Proceedings of the conference on Design, automation and test in Europe - Volume 1
  66. Parikh A, Kim S, Kandemir M, Vijaykrishnan N and Irwin M (2004). Instruction Scheduling for Low Power, Journal of VLSI Signal Processing Systems, 37:1, (129-149), Online publication date: 1-May-2004.
  67. Lee S and Hong M Low-Power video decoding for mobile multimedia applications Proceedings of the 5th Pacific Rim Conference on Advances in Multimedia Information Processing - Volume Part II, (537-544)
  68. Vijaykrishnan N, Kandemir M, Irwin M, Kim H, Ye W and Duarte D (2003). Evaluating Integrated Hardware-Software Optimizations Using a Unified Energy Estimation Framework, IEEE Transactions on Computers, 52:1, (59-76), Online publication date: 1-Jan-2003.
  69. Raghunathan A, Dey S and Jha N (2018). High-level macro-modeling and estimation techniques for switching activity and power consumption, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 11:4, (538-557), Online publication date: 1-Aug-2003.
  70. ACM
    Wang T, Lee Y and Chen C 3D thermal-ADI Proceedings of the 2003 international symposium on Physical design, (10-17)
  71. ACM
    Cho K, Park J, Hong J and Choi G 54x54-bit radix-4 multiplier based on modified booth algorithm Proceedings of the 13th ACM Great Lakes symposium on VLSI, (233-236)
  72. ACM
    Chatterjee B, Sachdev M, Hsu S, Krishnamurthy R and Borkar S Effectiveness and scaling trends of leakage control techniques for sub-130nm CMOS technologies Proceedings of the 2003 international symposium on Low power electronics and design, (122-127)
  73. Wang Q and Roy S RTL Power Optimization with Gate-Level Accuracy Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  74. Varatkar G and Marculescu R Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  75. Li R, Zhou D, Liu J and Zeng X Power-Optimal Simultaneous Buffer Insertion/Sizing and Wire Sizing Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  76. García-Ortiz A, Murgan T and Glesner M Transition Activity Estimation for General Correlated Data Distributions Proceedings of the 16th International Conference on VLSI Design
  77. Raja T, Agrawal V and Bushnell M Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program Proceedings of the 16th International Conference on VLSI Design
  78. ACM
    Kim S, Vijaykrishnan N, Kandemir M, Sivasubramaniam A and Irwin M (2003). Partitioned instruction cache architecture for energy efficiency, ACM Transactions on Embedded Computing Systems (TECS), 2:2, (163-185), Online publication date: 1-May-2003.
  79. ACM
    Zhang Y, Hu X and Chen D Task scheduling and voltage selection for energy minimization Proceedings of the 39th annual Design Automation Conference, (183-188)
  80. ACM
    Kadayif I, Kandemir M and Karakoy M An energy saving strategy based on adaptive loop parallelization Proceedings of the 39th annual Design Automation Conference, (195-200)
  81. ACM
    Lahiri K, Dey S and Raghunathan A Communication architecture based power management for battery efficient system design Proceedings of the 39th annual Design Automation Conference, (691-696)
  82. Yoshizawa H, Taniguchi K and Nakashi K (2019). Phase Detectors/Phase Frequency Detectors for High Performance PLLs, Analog Integrated Circuits and Signal Processing, 30:3, (217-226), Online publication date: 1-Mar-2002.
  83. Chatzigeorgiou A and Stephanides G (2019). Energy Metric for Software Systems, Software Quality Journal, 10:4, (355-371), Online publication date: 1-Dec-2002.
  84. Nicolici N and Al-Hashimi B (2002). Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits, IEEE Transactions on Computers, 51:6, (721-734), Online publication date: 1-Jun-2002.
  85. Chung E, Benini L, Bogliolo A, Lu Y and De Micheli G (2002). Dynamic Power Management for Nonstationary Service Requests, IEEE Transactions on Computers, 51:11, (1345-1361), Online publication date: 1-Nov-2002.
  86. Lajolo M, Raghunathan A, Dey S and Lavagno L (2018). Cosimulation-based power estimation for system-on-chip design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 10:3, (253-266), Online publication date: 1-Jun-2002.
  87. ACM
    Pénzes P and Martin A Energy-delay efficiency of VLSI computations Proceedings of the 12th ACM Great Lakes symposium on VLSI, (104-111)
  88. ACM
    Saputra H, Kandemir M, Vijaykrishnan N, Irwin M, Hu J, Hsu C and Kremer U Energy-conscious compilation based on voltage scaling Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems, (2-11)
  89. ACM
    Saputra H, Kandemir M, Vijaykrishnan N, Irwin M, Hu J, Hsu C and Kremer U (2019). Energy-conscious compilation based on voltage scaling, ACM SIGPLAN Notices, 37:7, (2-11), Online publication date: 17-Jul-2002.
  90. ACM
    Lahiri K, Raghunathan A and Dey S Fast system-level power profiling for battery-efficient system design Proceedings of the tenth international symposium on Hardware/software codesign, (157-162)
  91. Simunic T Dynamic management of power consumption Power aware computing, (101-125)
  92. Lahiri K, Dey S, Panigrahi D and Raghunathan A Battery-Driven System Design Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  93. ACM
    García A, Kabulepa L and Glesner M Efficient estimation of signal transition activity in MAC architectures Proceedings of the 2002 international symposium on Low power electronics and design, (319-322)
  94. Lahiri K, Dey S and Raghunathan A (2002). Communication-Based Power Management, IEEE Design & Test, 19:4, (118-130), Online publication date: 1-Jul-2002.
  95. Mejia P, Levner E and Mossé D An integrated heuristic approach to power-aware real-time scheduling Proceedings of the 2nd international conference on Power-aware computer systems, (68-83)
  96. Kwon C and Lee K (2018). Highly parallel and energy-efficient exhaustive minimum distance search engine using hybrid digital/analog circuit techniques, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 9:5, (726-729), Online publication date: 1-Oct-2001.
  97. ACM
    Quintana J, Avedillo M, Jiménez R and Rodríguez-Villegas E Practical low-cost CPL implementations threshold logic functions Proceedings of the 11th Great Lakes symposium on VLSI, (139-144)
  98. ACM
    Banerjee K, Pedram M and Ajami A Analysis and optimization of thermal issues in high-performance VLSI Proceedings of the 2001 international symposium on Physical design, (230-237)
  99. ACM
    Moshnyaga V Reducing cache engery through dual voltage supply Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (302-305)
  100. ACM
    Gilbert F, Worm A and Wehn N Low power implementation of a turbo-decoder on programmable architectures Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (400-403)
  101. ACM
    Kadayif I, Chinoda T, Kandemir M, Vijaykirsnan N, Irwin M and Sivasubramaniam A vEC Proceedings of the 2001 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering, (28-31)
  102. ACM
    Moon J, Athas W and Beerel P Theory and practical implementation of harmonic resonant rail driver Proceedings of the 2001 international symposium on Low power electronics and design, (153-158)
  103. ACM
    Kim S, Vijaykrishnan N, Kandemir M and Irwin M Energy-efficient instruction cache using page-based placement Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems, (229-237)
  104. Kim H, Kandemir M, Vijaykrishnan N and Irwin M Characterization of memory energy behavior Workload characterization of emerging computer applications, (165-180)
  105. Banerjee K and Mehrotra A Coupled analysis of electromigration reliability and performance in ULSI signal nets Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (158-164)
  106. Raghunathan V, Ravi S, Raghunathan A and Lakshminarayana G Transient power management through high level synthesis Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (545-552)
  107. Memory Hierarchy Optimization of Multimedia Applications on Programmable Embedded Cores 1 Proceedings of the 2nd International Symposium on Quality Electronic Design
  108. ACM
    Wang W, Raghunathan A, Lakshminarayana G and Jha N Input space adaptive design Proceedings of the 38th annual Design Automation Conference, (738-743)
  109. Jones C, Sivalingam K, Agrawal P and Chen J (2001). A Survey of Energy Efficient Network Protocols for Wireless Networks, Wireless Networks, 7:4, (343-358), Online publication date: 1-Sep-2001.
  110. Gerstendörfer S and Wunderlich H (2019). Minimized Power Consumption for Scan-Based BIST, Journal of Electronic Testing: Theory and Applications, 16:3, (203-212), Online publication date: 1-Jun-2000.
  111. Tsui C, Cheng R and Ling C (2019). Low Power Rake Receiver and Viterbi Decoder Design for CDMA Applications, Wireless Personal Communications: An International Journal, 14:1, (49-64), Online publication date: 1-Jul-2000.
  112. Inoue A, Ishihara T and Yasuura H (2018). Flexible System LSI for Embedded Systems and Its Optimization Techniques, Design Automation for Embedded Systems, 5:2, (179-205), Online publication date: 1-Jun-2000.
  113. ACM
    Dick R, Lakshminarayana G, Raghunathan A and Jha N Power analysis of embedded operating systems Proceedings of the 37th Annual Design Automation Conference, (312-315)
  114. ACM
    Qiu Q, Wu Q and Pedram M Dynamic power management of complex systems using generalized stochastic Petri nets Proceedings of the 37th Annual Design Automation Conference, (352-356)
  115. ACM
    Lee S and Sakurai T Run-time voltage hopping for low-power real-time systems Proceedings of the 37th Annual Design Automation Conference, (806-809)
  116. ACM
    Vijaykrishnan N, Kandemir M, Irwin M, Kim H and Ye W Energy-driven integrated hardware-software optimizations using SimplePower Proceedings of the 27th annual international symposium on Computer architecture, (95-106)
  117. ACM
    Vijaykrishnan N, Kandemir M, Irwin M, Kim H and Ye W (2000). Energy-driven integrated hardware-software optimizations using SimplePower, ACM SIGARCH Computer Architecture News, 28:2, (95-106), Online publication date: 1-May-2000.
  118. ACM
    Dolev S and Rajsbaum S Stability of long-lived consensus (extended abstract) Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing, (309-318)
  119. ACM
    Lajolo M, Raghunathan A and Dey S Efficient power co-estimation techniques for system-on-chip design Proceedings of the conference on Design, automation and test in Europe, (27-34)
  120. ACM
    Münch M, Wurth B, Mehra R, Sproch J and Wehn N Automating RT-level operand isolation to minimize power consumption in datapaths Proceedings of the conference on Design, automation and test in Europe, (624-633)
  121. ACM
    Nicolici N and Al-Hashimi B Scan latch partitioning into multiple scan chains for power minimization in full scan sequential circuits Proceedings of the conference on Design, automation and test in Europe, (715-722)
  122. ACM
    Kim K, Beerel P and Hong Y An asynchronous matrix-vector multiplier for discrete cosine transform Proceedings of the 2000 international symposium on Low power electronics and design, (256-261)
  123. ACM
    Lee S, Chung J, Yoon H and Lee M High speed and ultra-low power 16×16 MAC deisgn using TG techniques for web-based multimedia system Proceedings of the 2000 Asia and South Pacific Design Automation Conference, (17-18)
  124. ACM
    Usami K and Igarashi M Low-power design methodology and applications utilizing dual supply voltages Proceedings of the 2000 Asia and South Pacific Design Automation Conference, (123-128)
  125. ACM
    Lee S and Sakurai T Run-time power control scheme using software feedback loop for low-power real-time application Proceedings of the 2000 Asia and South Pacific Design Automation Conference, (381-386)
  126. ACM
    Wu Q, Qiu Q and Pedram M An interleaved dual-battery power supply for battery-operated electronics Proceedings of the 2000 Asia and South Pacific Design Automation Conference, (387-390)
  127. Hong S and Kim T Bus optimization for low-power data path synthesis based on network flow method Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (312-317)
  128. Nachtergaele L, Tiwari V and Dutt N System and architecture-level power reduction of microprocessor-based communication and multi-media applications Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (569-574)
  129. Lin R A Reconfigurable Low-Power High-Performance Matrix Multiplier Design Proceedings of the 1st International Symposium on Quality of Electronic Design
  130. Kranitis N, Gizopoulos D, Paschalis A, Psarakis M and Zorian Y (2000). Power-/Energy Efficient BIST Schemes for Processor Data Paths, IEEE Design & Test, 17:4, (15-28), Online publication date: 1-Oct-2000.
  131. ACM
    Benini L and Micheli G (2000). System-level power optimization, ACM Transactions on Design Automation of Electronic Systems (TODAES), 5:2, (115-192), Online publication date: 1-Apr-2000.
  132. Nannarelli A and Lang T (2019). Low-Power Divider, IEEE Transactions on Computers, 48:1, (2-14), Online publication date: 1-Jan-1999.
  133. ACM
    Brodersen R (1999). InfoPad - past, present and future, ACM SIGMOBILE Mobile Computing and Communications Review, 3:1, (1-7), Online publication date: 1-Jan-1999.
  134. ACM
    Pedram M and Wu Q Battery-powered digital CMOS design Proceedings of the conference on Design, automation and test in Europe, (17-es)
  135. ACM
    Chung E, Benini L, Bogiolo A and De Micheli G Dynamic power management for non-stationary service requests Proceedings of the conference on Design, automation and test in Europe, (18-es)
  136. ACM
    Patra P and Narayanan U Automated phase assignment for the synthesis of low power domino circuits Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (379-384)
  137. ACM
    Qiu Q and Pedram M Dynamic power management based on continuous-time Markov decision processes Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (555-561)
  138. ACM
    Pedram M and Wu Q Design considerations for battery-powered electronics Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (861-866)
  139. ACM
    Qiu Q, Wu Q and Pedram M Stochastic modeling of a power-managed system Proceedings of the 1999 international symposium on Low power electronics and design, (194-199)
  140. ACM
    Bishop B and Irwin M Databus charge recovery Proceedings of the 1999 international symposium on Low power electronics and design, (85-87)
  141. Chung E, Benini L and De Micheli G Dynamic power management using adaptive learning tree Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (274-279)
  142. Gerstendörfer S and Wunderlich H Minimized Power Consumption For Scan-Based Bist Proceedings of the 1999 IEEE International Test Conference
  143. Tzartzanis N and Athas W Clock-Powered CMOS Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
  144. ACM
    Abou-Samra S, Aisa P, Guyot A and Courtois B 3D CMOS SOL for high performance computing Proceedings of the 1998 international symposium on Low power electronics and design, (54-58)
  145. ACM
    Nannarelli A and Lang T Power-delay tradeoffs for radix-4 and radix-8 dividers Proceedings of the 1998 international symposium on Low power electronics and design, (109-111)
  146. ACM
    Gebotys C and Gebotys R An empirical comparison of algorithmic, instruction, and architectural power prediction models for high performance embedded DSP processors Proceedings of the 1998 international symposium on Low power electronics and design, (121-123)
  147. ACM
    Kim S and Papaefthymiou M True single-phase energy-recovering logic for low-power, high-speed VLSI Proceedings of the 1998 international symposium on Low power electronics and design, (167-172)
  148. ACM
    Benini L, Hodgson R and Siegel P System-level power estimation and optimization Proceedings of the 1998 international symposium on Low power electronics and design, (173-178)
  149. ACM
    Coumeri S and Thomas D Memory modeling for system synthesis Proceedings of the 1998 international symposium on Low power electronics and design, (179-184)
  150. ACM
    Ishihara T and Yasuura H Voltage scheduling problem for dynamically variable voltage processors Proceedings of the 1998 international symposium on Low power electronics and design, (197-202)
  151. ACM
    Narayanan U, Pan P and Liu C Low power logic synthesis under a general delay model Proceedings of the 1998 international symposium on Low power electronics and design, (209-214)
  152. ACM
    Sacha J and Irwin M The logarithmic number system for strength reduction in adaptive filtering Proceedings of the 1998 international symposium on Low power electronics and design, (256-261)
  153. ACM
    Benini L, Bogliolo A and De Micheli G Dynamic power management of electronic systems Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (696-702)
  154. Masselos K, Merakos P, Stouraitis T and Goutis C (1998). Trade-Off Analysis of a Low-Power Image Coding Algorithm, Journal of VLSI Signal Processing Systems, 18:1, (65-80), Online publication date: 1-Jan-1998.
  155. Keitel-Schulz D and Wehn N Issues in embedded DRAM development and applications Proceedings of the 11th international symposium on System synthesis, (23-31)
  156. Song L and Parhi K (2018). Low-Energy Digit-Serial/Parallel Finite Field Multipliers, Journal of VLSI Signal Processing Systems, 19:2, (149-166), Online publication date: 1-Jul-1998.
  157. Daga J, Ottaviano E and Auvergne D Temperature effect on delay for low voltage applications Proceedings of the conference on Design, automation and test in Europe, (680-685)
  158. ACM
    Monteiro J and Oliveira A Finite state machine decomposition for low power Proceedings of the 35th annual Design Automation Conference, (758-763)
  159. Nawab S, Oppenheim A, Chandrakasan A, Winograd J and Ludwig J (1997). Approximate Signal Processing, Journal of VLSI Signal Processing Systems, 15:1-2, (177-200), Online publication date: 1-Jan-1997.
  160. Larsson P (2019). di/dt Noise in CMOS Integrated Circuits, Analog Integrated Circuits and Signal Processing, 14:1-2, (113-129), Online publication date: 1-Sep-1997.
  161. Lazzaro J and Wawrzynek J (1997). Speech Recognition Experiments with Silicon Auditory Models, Analog Integrated Circuits and Signal Processing, 13:1-2, (37-51), Online publication date: 1-May-1997.
  162. ACM
    Ohnishi M, Yamada A, Noda H and Kambe T A method of redundant clocking detection and power reduction at RT level design Proceedings of the 1997 international symposium on Low power electronics and design, (131-136)
  163. ACM
    Musoll E, Lang T and Cortadella J Exploiting the locality of memory references to reduce the address bus energy Proceedings of the 1997 international symposium on Low power electronics and design, (202-207)
  164. ACM
    Azam M, Franzon P and Liu W Low power data processing by elimination of redundant computations Proceedings of the 1997 international symposium on Low power electronics and design, (259-264)
  165. ACM
    Narayanan U, Leong H, Chung K and Liu C Low power multiplexer decomposition Proceedings of the 1997 international symposium on Low power electronics and design, (269-274)
  166. ACM
    Chen K and Hu C Device and technology optimizations for low power design in deep sub-micron regime Proceedings of the 1997 international symposium on Low power electronics and design, (312-316)
  167. Sankarayya N, Roy K and Bhattacharya D Optimizing computations in a transposed direct form realization of floating-point LTI-FIR systems Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (120-125)
  168. Sankarayya N, Roy K and Bhattacharya D Algorithms for Low Power FIR Filter Realization Using Differential Coefficients Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
  169. Agrawal V Low-Power Design by Hazard Filtering Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
  170. ACM
    Lidsky D and Rabaey J Early power exploration—a World Wide Web application Proceedings of the 33rd annual Design Automation Conference, (27-32)
  171. ACM
    Sangiovanni-Vincentelli A, McGeer P and Saldanha A Verification of electronic systems Proceedings of the 33rd annual Design Automation Conference, (106-111)
  172. ACM
    Chandrakasan A, Yang I, Vieri C and Antoniadis D Design considerations and tools for low-voltage digital system design Proceedings of the 33rd annual Design Automation Conference, (113-118)
  173. ACM
    Monteiro J, Devadas S, Ashar P and Mauskar A Scheduling techniques to enable power management Proceedings of the 33rd annual Design Automation Conference, (349-352)
  174. Strojwas A, Quarantelli M, Borel J, Guardiani C, Nicollini G, Crisenza G, Franzini B and Wiart J Manufacturability of low power CMOS technology solutions Proceedings of the 1996 international symposium on Low power electronics and design, (225-232)
  175. Wei G and Horowitz M A low power switching power supply for self-clocked systems Proceedings of the 1996 international symposium on Low power electronics and design, (313-317)
  176. Chandrakasan A, Gutnik V and Xanthopoulos T Data driven signal processing Proceedings of the 1996 international symposium on Low power electronics and design, (347-352)
  177. Krishnamurthy R, Lys I and Carley L Static power driven voltage scaling and delay driven buffer sizing in mixed swing QuadRail for sub-1V I/O swings Proceedings of the 1996 international symposium on Low power electronics and design, (381-386)
  178. Favalli M, Benini L and de Micheli G Design for Testability of Gated-Clock FSMs Proceedings of the 1996 European conference on Design and Test
  179. San Martin R and Knight J (1996). Optimizing Power in ASIC Behavioral Synthesis, IEEE Design & Test, 13:2, (58-70), Online publication date: 1-Jun-1996.
  180. Smit J and Bosma M On the energy complexity of algorithms realized in CMOS, a graphics example Proceedings of the Eleventh Eurographics conference on Graphics Hardware, (93-101)
  181. Smit J and Bosma M Graphics algorithms on field programmable function arrays Proceedings of the Eleventh Eurographics conference on Graphics Hardware, (103-108)
  182. Chandrakasan A Ultra low power digital signal processing Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Contributors
  • Massachusetts Institute of Technology
  • University of California, Berkeley

Recommendations