skip to main content
Skip header Section
Custom Memory Management Methodology: Exploration of Memory Organisation for Embedded Multimedia System DesignOctober 1998
Publisher:
  • Kluwer Academic Publishers
  • 101 Philip Drive Assinippi Park Norwell, MA
  • United States
ISBN:978-0-7923-8288-1
Published:01 October 1998
Pages:
344
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

From the Publisher:

This book grants the reader a comprehensive overview of the state-of-the-art in system-level memory management (data transfer and storage) related issues for complex data-dominated real-time signal and data processing applications. The authors introduce their own system-level data transfer and storage exploration methodology for data-dominated video applications. This methodology tackles the power and area reduction cost components in the architecture for this target domain, namely the system-level busses and the background memories. For the most critical tasks in the methodology, prototype tools have been developed to reduce the design time. To the researcher the book will serve as an excellent reference source, both for the overall description of the methodology and for the detailed descriptions of the system-level methodologies and synthesis techniques and algorithms. To the design engineers and CAD managers it offers an invaluable insight into the anticipated evolution of commercially available design tools as well as allowing them to utilize the book's concepts in their own research and development.

Cited By

  1. Rodriguez G, Kandemir M and Tourino J (2019). Affine Modeling of Program Traces, IEEE Transactions on Computers, 68:2, (294-300), Online publication date: 1-Feb-2019.
  2. Jakovljević R, Berić A, Van Dalen E and Milićev D (2018). New access modes of parallel memory subsystem for sub-pixel motion estimation, Journal of Real-Time Image Processing, 15:2, (279-296), Online publication date: 1-Aug-2018.
  3. ACM
    Soudris D, Papadopoulos L, Kessler C, Kehagias D, Papadopoulos A, Seferlis P, Chatzigeorgiou A, Ampatzoglou A, Thibault S, Namyst R, Pleiter D, Gaydadjiev G, Becker T and Haefele M EXA2PRO programming environment Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, (202-209)
  4. ACM
    Filippopoulos I, Sharma N, Catthoor F, Kjeldsberg P and Panda P (2016). Integrated Exploration Methodology for Data Interleaving and Data-to-Memory Mapping on SIMD Architectures, ACM Transactions on Embedded Computing Systems, 15:3, (1-23), Online publication date: 21-Jul-2016.
  5. ACM
    Rodríguez G, Andión J, Kandemir M and Touriño J Trace-based affine reconstruction of codes Proceedings of the 2016 International Symposium on Code Generation and Optimization, (139-149)
  6. ACM
    Peón-quirós M, Bartzas A, Mamagkakis S, Catthoor F, Mendías J and Soudris D (2015). Placement of Linked Dynamic Data Structures over Heterogeneous Memories in Embedded Systems, ACM Transactions on Embedded Computing Systems, 14:2, (1-30), Online publication date: 25-Mar-2015.
  7. ACM
    Sharma N, Panda P, Catthoor F, Raghavan P and Aa T (2015). Array Interleaving—An Energy-Efficient Data Layout Transformation, ACM Transactions on Design Automation of Electronic Systems, 20:3, (1-26), Online publication date: 24-Jun-2015.
  8. ACM
    Kritikakou A, Catthoor F, Kelefouras V and Goutis C (2014). A scalable and near-optimal representation of access schemes for memory management, ACM Transactions on Architecture and Code Optimization, 11:1, (1-25), Online publication date: 1-Feb-2014.
  9. ACM
    Xydis S, Pekmestzi K, Soudris D and Economakos G (2013). Compiler-in-the-loop exploration during datapath synthesis for higher quality delay-area trade-offs, ACM Transactions on Design Automation of Electronic Systems (TODAES), 18:1, (1-35), Online publication date: 1-Jan-2013.
  10. ACM
    Pouchet L, Zhang P, Sadayappan P and Cong J Polyhedral-based data reuse optimization for configurable computing Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays, (29-38)
  11. ACM
    Min S, Javaid H and Parameswaran S XDRA Proceedings of the 50th Annual Design Automation Conference, (1-10)
  12. ACM
    Kritikakou A, Catthoor F, Kelefouras V and Goutis C (2013). Near-optimal and scalable intrasignal in-place optimization for non-overlapping and irregular access schemes, ACM Transactions on Design Automation of Electronic Systems, 19:1, (1-30), Online publication date: 1-Dec-2013.
  13. ACM
    Cong J, Zhang P and Zou Y Optimizing memory hierarchy allocation with loop transformations for high-level synthesis Proceedings of the 49th Annual Design Automation Conference, (1233-1238)
  14. ACM
    Girodias B, Iugan L, Bouchebaba Y, Nicolescu G, Abouhamid E, Langevin M and Paulin P (2012). Integrating Memory Optimization with Mapping Algorithms for Multi-Processors System-on-Chip, ACM Transactions on Embedded Computing Systems (TECS), 11:3, (1-26), Online publication date: 1-Sep-2012.
  15. ACM
    Ding W and Kandemir M Improving last level cache locality by integrating loop and data transformations Proceedings of the International Conference on Computer-Aided Design, (65-72)
  16. Al Hasib A, Kjeldsberg P and Natvig L Performance and energy efficiency analysis of data reuse transformation methodology on multicore processor Proceedings of the 18th international conference on Parallel processing workshops, (337-346)
  17. Cong J, Zhang P and Zou Y Combined loop transformation and hierarchy allocation for data reuse optimization Proceedings of the International Conference on Computer-Aided Design, (185-192)
  18. Liu M, Sha E, Zhuge Q, He Y and Qiu M (2011). Loop Distribution and Fusion with Timing and Code Size Optimization, Journal of Signal Processing Systems, 62:3, (325-340), Online publication date: 1-Mar-2011.
  19. ACM
    Geelen B, Ferentinos V, Catthoor F, Lafruit G, Verkest D, Lauwereins R and Stouraitis T (2010). Modeling and exploiting spatial locality trade-offs in wavelet-based applications under varying resource requirements, ACM Transactions on Embedded Computing Systems (TECS), 9:3, (1-26), Online publication date: 1-Feb-2010.
  20. Liu Q, Todman T and Luk W Combining optimizations in automated low power design Proceedings of the Conference on Design, Automation and Test in Europe, (1791-1796)
  21. ACM
    Suresh D, Agrawal B, Yang J and Najjar W (2009). Energy-efficient encoding techniques for off-chip data buses, ACM Transactions on Embedded Computing Systems (TECS), 8:2, (1-23), Online publication date: 1-Jan-2009.
  22. ACM
    Unnikrishnan P, Chen G, Kandemir M, Karakoy M and Kolcu I (2009). Reducing memory requirements of resource-constrained applications, ACM Transactions on Embedded Computing Systems, 8:3, (1-37), Online publication date: 1-Apr-2009.
  23. ACM
    Bertels P, D'Haene M, Degryse T and Stroobandt D (2019). Teaching skills and concepts for embedded systems design, ACM SIGBED Review, 6:1, (1-8), Online publication date: 1-Jan-2009.
  24. Cho M, Schlessman J, Wolf W and Mukhopadhyay S Accuracy-aware SRAM Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (823-828)
  25. Bartzas A, Peon-Quiros M, Mamagkakis S, Catthoor_affcnd F, Soudris D and Mendias J (2018). Direct memory access usage optimization in network applications for reduced memory latency and energy consumption, Journal of Embedded Computing, 3:3, (241-254), Online publication date: 1-Aug-2009.
  26. Trautmann M, Mamagkakis S, Bougard B, Declerck J, Umans E, Dejonghe A, Van der Perre L and Catthoor F Simulation framework for early phase exploration of SDR platforms Proceedings of the Conference on Design, Automation and Test in Europe, (312-315)
  27. Balasa F, Zhu H and Luican I (2009). Signal assignment to hierarchical memory organizations for embedded multidimensional signal processing systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:9, (1304-1317), Online publication date: 1-Sep-2009.
  28. De Micheli G (2019). An outlook on design technologies for future integrated systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:6, (777-790), Online publication date: 1-Jun-2009.
  29. Zompakis N, Trautmann M, Bartzas A, Mamagkakis S, Soudris D, Van der Perre L and Catthoor F Multi-granularity noc simulation framework for early phase exploration of SDR hardware platforms Proceedings of the 19th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (165-174)
  30. Girodias B, Bouchebaba Y, Nicolescu G, Aboulhamid E, Paulin P and Lavigueur B (2009). Multiprocessor, Multithreading and Memory Optimization for On-Chip Multimedia Applications, Journal of Signal Processing Systems, 57:2, (263-283), Online publication date: 1-Nov-2009.
  31. ACM
    Ozturk O and Kandemir M (2008). ILP-Based energy minimization techniques for banked memories, ACM Transactions on Design Automation of Electronic Systems (TODAES), 13:3, (1-40), Online publication date: 1-Jul-2008.
  32. ACM
    Badea C, Nicolau A and Veidenbaum A Impact of JVM superoperators on energy consumption in resource-constrained embedded systems Proceedings of the 2008 ACM SIGPLAN-SIGBED conference on Languages, compilers, and tools for embedded systems, (23-30)
  33. ACM
    Badea C, Nicolau A and Veidenbaum A (2008). Impact of JVM superoperators on energy consumption in resource-constrained embedded systems, ACM SIGPLAN Notices, 43:7, (23-30), Online publication date: 27-Jun-2008.
  34. ACM
    Bertels P, Heirman W and Stroobandt D Efficient measurement of data flow enabling communication-aware parallelisation Proceedings of the 1st international forum on Next-generation multicore/manycore technologies, (1-7)
  35. Bartzas A, Peon-Quiros M, Mamagkakis S, Catthoor F, Soudris D and Mendias J Enabling run-time memory data transfer optimizations at the system level with automated extraction of embedded software metadata information Proceedings of the 2008 Asia and South Pacific Design Automation Conference, (434-439)
  36. Luican I, Zhu H and Balasa F (2018). Computation of the minimum data storage and applications in memory management for multimedia signal processing, Integrated Computer-Aided Engineering, 15:2, (181-196), Online publication date: 1-Apr-2008.
  37. Ishihara N and Abe K (2008). Parallel Architecture for 2-D Discrete Wavelet Transform with Low Energy Consumption, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E91-A:8, (2068-2075), Online publication date: 1-Aug-2008.
  38. Ishihara N and Abe K A 2-DDWT parallel architecture fully exploiting DRAM burst accesses Proceedings of the Fifth IASTED International Conference on Signal Processing, Pattern Recognition and Applications, (250-255)
  39. Qiu M, Sha E, Liu M, Lin M, Hua S and Yang L (2008). Energy minimization with loop fusion and multi-functional-unit scheduling for multidimensional DSP, Journal of Parallel and Distributed Computing, 68:4, (443-455), Online publication date: 1-Apr-2008.
  40. Ozturk O, Chen G, Kandemir M and Karakoy M Compiler-Directed Variable Latency Aware SPM Management to CopeWith Timing Problems Proceedings of the International Symposium on Code Generation and Optimization, (232-243)
  41. ACM
    Ning K and Kaeli D External memory page remapping for embedded multimedia systems Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, (185-194)
  42. ACM
    Ning K and Kaeli D (2007). External memory page remapping for embedded multimedia systems, ACM SIGPLAN Notices, 42:7, (185-194), Online publication date: 13-Jul-2007.
  43. ACM
    Fellahi M, Cohen A and Touati S Code-size conscious pipelining of imperfectly nested loops Proceedings of the 2007 workshop on MEmory performance: DEaling with Applications, systems and architecture, (49-55)
  44. Norell H, Lawal N and O'Nils M (2018). Automatic generation of spatial and temporal memory architectures for embedded video processing systems, EURASIP Journal on Embedded Systems, 2007:1, (32-32), Online publication date: 1-Jan-2007.
  45. Cockx J, Denolf K, Vanhoof B and Stahl R (2007). SPRINT, EURASIP Journal on Advances in Signal Processing, 2007:1, (213-213), Online publication date: 1-Jan-2007.
  46. Zhu H, Luican I and Balasa F Mapping multi-dimensional signals into hierarchical memory organizations Proceedings of the conference on Design, automation and test in Europe, (385-390)
  47. Choudhury M, Ringgenberg K, Rixner S and Mohanram K Interactive presentation: Single-ended coding techniques for off-chip interconnects to commodity memory Proceedings of the conference on Design, automation and test in Europe, (1072-1077)
  48. Luican I, Zhu H and Balasa F Mapping model with inter-array memory sharing for multidimensional signal processing Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (160-165)
  49. Mahajan A and Ali M Optimization of memory system in real-time embedded systems Proceedings of the 11th WSEAS International Conference on Computers, (13-19)
  50. Denolf K, Chirila-Rus A, Schumacher P, Turney R, Vissers K, Verkest D and Corporaal H (2018). A systematic approach to design low-power video codec cores, EURASIP Journal on Embedded Systems, 2007:1, (42-42), Online publication date: 1-Jan-2007.
  51. Huang C, Ravi S, Raghunathan A and Jha N (2019). Generation of heterogeneous distributed architectures for memory-intensive applications through high-level synthesis, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:11, (1191-1204), Online publication date: 1-Nov-2007.
  52. Balasa F, Zhu H and Luican I (2019). Computation of storage requirements for multi-dimensional signal processing applications, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:4, (447-460), Online publication date: 1-Apr-2007.
  53. ACM
    Poucet C, Mamagkakis S, Atienza D and Catthoor F Systematic intermediate sequence removal for reduced memory accesses Proceedingsof the 10th international workshop on Software & compilers for embedded systems, (51-60)
  54. ACM
    Vanbroekhoven P, Janssens G, Bruynooghe M and Catthoor F (2007). A practical dynamic single assignment transformation, ACM Transactions on Design Automation of Electronic Systems, 12:4, (40-es), Online publication date: 1-Sep-2007.
  55. ACM
    Bouchebaba Y, Girodias B, Nicolescu G, Aboulhamid E, Lavigueur B and Paulin P (2007). MPSoC memory optimization using program transformation, ACM Transactions on Design Automation of Electronic Systems, 12:4, (43-es), Online publication date: 1-Sep-2007.
  56. ACM
    Hu Q, Kjeldsberg P, Vandecappelle A, Palkovic M and Catthoor F (2007). Incremental hierarchical memory size estimation for steering of loop transformations, ACM Transactions on Design Automation of Electronic Systems, 12:4, (50-es), Online publication date: 1-Sep-2007.
  57. Kroupis N, Zervas N, Dasygenis M, Tatas K, Argyriou A, Soudris D and Thanailakis A (2006). Behavioral-Level Performance and Power Exploration of Data-Intensive Applications Mapped on Programmable Processors, Journal of VLSI Signal Processing Systems, 44:1-2, (153-171), Online publication date: 1-Aug-2006.
  58. Ozturk O, Kandemir M and Kolcu I Shared Scratch-Pad Memory Space Management Proceedings of the 7th International Symposium on Quality Electronic Design, (576-584)
  59. ACM
    Guo J, Papanikolaou A, Marchal P and Catthoor F Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture Proceedings of the 2006 international workshop on System-level interconnect prediction, (75-81)
  60. Ozturk O, Wang F, Kandemir M and Xie Y Optimal topology exploration for application-specific 3D architectures Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (390-395)
  61. Hu Q, Vandecappelle A, Palkovic M, Kjeldsberg P, Brockmeyer E and Catthoor F Hierarchical memory size estimation for loop fusion and loop shifting in data-dominated applications Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (606-611)
  62. Zhu H, Luican I and Balasa F Memory size computation for multimedia processing applications Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (802-807)
  63. Absar J and Catthoor F Analysis of scratch-pad and data-cache performance using statistical methods Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (820-825)
  64. ACM
    Papanikolaou A, Grabner T, Miranda M, Roussel P and Catthoor F Yield prediction for architecture exploration in nanometer technology nodes: Proceedings of the 4th international conference on Hardware/software codesign and system synthesis, (253-258)
  65. ACM
    Ozturk O, Chen G, Kandemir M and Karakoy M Cache miss clustering for banked memory systems Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (244-250)
  66. ACM
    Chee Y, Colbourn C and Ling A Optimal memoryless encoding for low power off-chip data buses Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (369-374)
  67. ACM
    Luican I, Zhu H and Balasa F Formal model of data reuse analysis for hierarchical memory organizations Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (595-600)
  68. Yatawatta S, Petropulu A and Graff C (2018). Energy-efficient channel estimation in MIMO systems, EURASIP Journal on Wireless Communications and Networking, 2006:2, (2-2), Online publication date: 2-Apr-2006.
  69. Ozturk O, Kandemir M, Irwin M and Tosun S Multi-Level On-Chip Memory Hierarchy Design for Embedded Chip Multiprocessors Proceedings of the 12th International Conference on Parallel and Distributed Systems - Volume 1, (383-390)
  70. Fanucci L, Cassiano M, Saponara S, Kammler D, Witte E, Schliebusch O, Ascheid G, Leupers R and Meyr H ASIP design and synthesis for non linear filtering in image processing Proceedings of the conference on Design, automation and test in Europe: Designers' forum, (233-238)
  71. Bartzas A, Mamagkakis S, Pouiklis G, Atienza D, Catthoor F, Soudris D and Thanailakis A Dynamic data type refinement methodology for systematic performance-energy design exploration of network applications Proceedings of the conference on Design, automation and test in Europe: Proceedings, (740-745)
  72. Thörnberg B, Hu Q, Palkovic M, O'Nils M and Kjeldsberg P (2006). Polyhedral space generation and memory estimation from interface and memory models of real-time video systems, Journal of Systems and Software, 79:2, (231-245), Online publication date: 1-Feb-2006.
  73. Thörnberg B and O'Nils M Impact of bit-width specification on the memory hierarchy for a real-time video processing system Proceedings of the conference on Design, automation and test in Europe: Proceedings, (752-753)
  74. Kulkarni C and Brebner G Memory centric thread synchronization on platform FPGAs Proceedings of the conference on Design, automation and test in Europe: Proceedings, (959-964)
  75. Bougard B, Rullmann M, Brockmeyer E, Van Der Perre L, Catthoor F and Dehaene W (2005). Energy Efficient Memory Architecture for High Speed Decoding of Block Turbo-Codes with the Fang-Buda Algorithm, Journal of VLSI Signal Processing Systems, 39:1-2, (79-92), Online publication date: 1-Jan-2005.
  76. Yang S, Wolf W and Vijaykrishnan N (2005). Power and Performance Analysis of Motion Estimation Based on Hardware and Software Realizations, IEEE Transactions on Computers, 54:6, (714-726), Online publication date: 1-Jun-2005.
  77. Kulkarni C, Ghez C, Miranda M, Catthoor F and De Man H (2005). Cache Conscious Data Layout Organization for Conflict Miss Reduction in Embedded Multimedia Applications, IEEE Transactions on Computers, 54:1, (76-81), Online publication date: 1-Jan-2005.
  78. ACM
    Ozturk O and Kandemir M Integer linear programming based energy optimization for banked DRAMs Proceedings of the 15th ACM Great Lakes symposium on VLSI, (92-95)
  79. ACM
    Ozturk O and Kandemir M Energy management in software-controlled multi-level memory hierarchies Proceedings of the 15th ACM Great Lakes symposium on VLSI, (270-275)
  80. ACM
    Ozturk O, Kandemir M and Irwin M Using data compression in an MPSoC architecture for improving performance Proceedings of the 15th ACM Great Lakes symposium on VLSI, (353-356)
  81. ACM
    Li F and Kandemir M Locality-conscious workload assignment for array-based computations in MPSOC architectures Proceedings of the 42nd annual Design Automation Conference, (95-100)
  82. ACM
    Suresh D, Agrawal B, Yang J and Najjar W A tunable bus encoder for off-chip data buses Proceedings of the 2005 international symposium on Low power electronics and design, (319-322)
  83. ACM
    Fradj H, el Ouardighi A, Belleudy C and Auguin M (2004). Energy aware memory architecture configuration, ACM SIGARCH Computer Architecture News, 33:3, (3-9), Online publication date: 1-Jun-2005.
  84. ACM
    Wang D, Ganesh B, Tuaycharoen N, Baynes K, Jaleel A and Jacob B (2005). DRAMsim, ACM SIGARCH Computer Architecture News, 33:4, (100-107), Online publication date: 1-Nov-2005.
  85. ACM
    Zhang C and Kurdahi F On combining iteration space tiling with data space tiling for scratch-pad memory systems Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (973-976)
  86. ACM
    Ozturk O, Kandemir M, Chen G, Irwin M and Karakoy M Customized on-chip memories for embedded chip multiprocessors Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (743-748)
  87. Chen G and Kandemir M Code restructuring for improving cache performance of MPSoCs Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (271-274)
  88. Chen G, Ozturk O, Kandemir M and Kolcu I Integrating loop and data optimizations for locality within a constraint network based framework Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (279-282)
  89. Bouyssounouse B and Sifakis J Low power engineering Embedded Systems Design, (450-478)
  90. Chen G, Chen G, Ozturk O and Kandemir M Exploiting Inter-Processor Data Sharing for Improving Behavior of Multi-Processor SoCs Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design, (90-95)
  91. Suresh D, Agrawal B, Najjar W and Yang J VALVE Proceedings of the 2005 International Conference on Computer Design, (631-633)
  92. Absar M and Catthoor F Compiler-Based Approach for Exploiting Scratch-Pad in Presence of Irregular Array Access Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (1162-1167)
  93. Kandemir M, Li F, Chen G, Chen G and Ozturk O Studying Storage-Recomputation Tradeoffs in Memory-Constrained Embedded Processing Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (1026-1031)
  94. Shashidhar K, Bruynooghe M, Catthoor F and Janssens G Functional Equivalence Checking for Verification of Algebraic Transformations on Array-Intensive Source Code Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (1310-1315)
  95. Ozturk O and Kandemir M Nonuniform Banking for Reducing Memory Energy Consumption Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (814-819)
  96. Vanbroekhoven P, Janssens G, Bruynooghe M and Catthoor F Transformation to dynamic single assignment using a simple data flow analysis Proceedings of the Third Asian conference on Programming Languages and Systems, (330-346)
  97. Shashidhar K, Bruynooghe M, Catthoor F and Janssens G Verification of source code transformations by program equivalence checking Proceedings of the 14th international conference on Compiler Construction, (221-236)
  98. Temmerman M, Daylight E, Catthoor F, Demeyer S and Dhaene T Moving up to the modeling level for the transformation of data structures in embedded multimedia applications Proceedings of the 5th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation, (445-454)
  99. Geelen B, Lafruit G, Ferentinos V, Lauwereins R and Verkest D Memory hierarchy energy cost of a direct filtering implementation of the wavelet transform Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (107-116)
  100. Dasygenis M, Brockmeyer E, Catthoor F, Soudris D and Thanailakis A Improving the memory bandwidth utilization using loop transformations Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (117-126)
  101. Marinissen E, Prince B, Keitel-Schulz D and Zorian Y Challenges in Embedded Memory Design and Test Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (722-727)
  102. ACM
    Kadayif I, Kandemir M, Chen G, Vijaykrishnan N, Irwin M and Sivasubramaniam A (2005). Compiler-directed high-level energy estimation and optimization, ACM Transactions on Embedded Computing Systems (TECS), 4:4, (819-850), Online publication date: 1-Nov-2005.
  103. ACM
    Vuletić M, Dubach C, Pozzi L and Ienne P Enabling unrestricted automated synthesis of portable hardware accelerators for virtual machines Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (243-248)
  104. De La Luz V and Kandemir M (2004). Array Regrouping and Its Use in Compiling Data-Intensive Embedded Applications, IEEE Transactions on Computers, 53:1, (1-19), Online publication date: 1-Jan-2004.
  105. De La Luz V, Kadayif I, Kandemir M and Sezer U (2004). Access Pattern Restructuring for Memory Energy, IEEE Transactions on Parallel and Distributed Systems, 15:4, (289-303), Online publication date: 1-Apr-2004.
  106. ACM
    Kandemir M, Ozturk O and Karakoy M Dynamic on-chip memory management for chip multiprocessors Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems, (14-23)
  107. ACM
    Turjan A, Kienhuis B and Deprettere E Translating affine nested-loop programs to process networks Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems, (220-229)
  108. ACM
    Zhou P, Pandey V, Sundaresan J, Raghuraman A, Zhou Y and Kumar S Dynamic tracking of page miss ratio curve for memory management Proceedings of the 11th international conference on Architectural support for programming languages and operating systems, (177-188)
  109. ACM
    Zhou P, Pandey V, Sundaresan J, Raghuraman A, Zhou Y and Kumar S (2004). Dynamic tracking of page miss ratio curve for memory management, ACM SIGPLAN Notices, 39:11, (177-188), Online publication date: 1-Nov-2004.
  110. ACM
    Zhou P, Pandey V, Sundaresan J, Raghuraman A, Zhou Y and Kumar S (2004). Dynamic tracking of page miss ratio curve for memory management, ACM SIGARCH Computer Architecture News, 32:5, (177-188), Online publication date: 1-Dec-2004.
  111. ACM
    Zhou P, Pandey V, Sundaresan J, Raghuraman A, Zhou Y and Kumar S (2004). Dynamic tracking of page miss ratio curve for memory management, ACM SIGOPS Operating Systems Review, 38:5, (177-188), Online publication date: 1-Dec-2004.
  112. ACM
    Fradj H, el Ouardighi A, Belleudy C and Auguin M Energy aware memory architecture configuration Proceedings of the 2004 workshop on MEmory performance: DEaling with Applications , systems and architecture, (3-9)
  113. ACM
    Agosta G, Palermo G and Silvano C Multi-objective co-exploration of source code transformations and design space architectures for low-power embedded systems Proceedings of the 2004 ACM symposium on Applied computing, (891-896)
  114. ACM
    Papanikolaou A, Miranda M and Catthoor F Overcoming the "Memory Wall" by improved system design exploration and a link to process technology options Proceedings of the 1st conference on Computing frontiers, (202-211)
  115. ACM
    Hartenstein R The digital divide of computing Proceedings of the 1st conference on Computing frontiers, (357-362)
  116. ACM
    Verbauwhede I and Schaumont P The happy marriage of architecture and application in next-generation reconfigurable systems Proceedings of the 1st conference on Computing frontiers, (363-376)
  117. ACM
    Francesco P, Marchal P, Atienza D, Benini L, Catthoor F and Mendias J An integrated hardware/software approach for run-time scratchpad management Proceedings of the 41st annual Design Automation Conference, (238-243)
  118. ACM
    Vuletić M, Pozzi L and Ienne P Virtual memory window for application-specific reconfigurable coprocessors Proceedings of the 41st annual Design Automation Conference, (948-953)
  119. Saponara S, Denolf K, Lafruit G, Blanch C and Bormans J (2004). Performance and complexity co-evaluation of the advanced video coding standard for cost-effective multimedia communications, EURASIP Journal on Advances in Signal Processing, 2004, (220-235), Online publication date: 1-Jan-2004.
  120. Saponara S, Fanucci L and Terreni P (2004). Design of a low-power VLSI macrocell for nonlinear adaptive video noise reduction, EURASIP Journal on Advances in Signal Processing, 2004, (1921-1930), Online publication date: 1-Jan-2004.
  121. Patel K, Macii E and Poncino M Synthesis of Partitioned Shared Memory Architectures for Energy-Efficient Multi-Processor SoC Proceedings of the conference on Design, automation and test in Europe - Volume 1
  122. Wang Z and Hu X Power Aware Variable Partitioning and Instruction Scheduling for Multiple Memory Banks Proceedings of the conference on Design, automation and test in Europe - Volume 1
  123. Szymanek R, Catthoor F and Kuchcinski K Time-Energy Design Space Exploration for Multi-Layer Memory Architectures Proceedings of the conference on Design, automation and test in Europe - Volume 1
  124. Liveris N and Banerjee P Power Aware Interface Synthesis for Bus-Based SoC Designs Proceedings of the conference on Design, automation and test in Europe - Volume 2
  125. Parikh A, Kim S, Kandemir M, Vijaykrishnan N and Irwin M (2004). Instruction Scheduling for Low Power, Journal of VLSI Signal Processing Systems, 37:1, (129-149), Online publication date: 1-May-2004.
  126. Mohanram K and Rixner S Context-independent codes for off-chip interconnects Proceedings of the 4th international conference on Power-Aware Computer Systems, (107-119)
  127. ACM
    Im C, Ha S and Kim H (2004). Dynamic voltage scheduling with buffers in low-power multimedia applications, ACM Transactions on Embedded Computing Systems (TECS), 3:4, (686-705), Online publication date: 1-Nov-2004.
  128. ACM
    Mishra P, Mamidipaka M and Dutt N (2004). Processor-memory coexploration using an architecture description language, ACM Transactions on Embedded Computing Systems (TECS), 3:1, (140-162), Online publication date: 1-Feb-2004.
  129. Vijaykrishnan N, Kandemir M, Irwin M, Kim H, Ye W and Duarte D (2003). Evaluating Integrated Hardware-Software Optimizations Using a Unified Energy Estimation Framework, IEEE Transactions on Computers, 52:1, (59-76), Online publication date: 1-Jan-2003.
  130. ACM
    Lee J, Park C and Ha S Memory access pattern analysis and stream cache design for multimedia applications Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (22-27)
  131. ACM
    Papanikolaou A, Miranda M, Catthoor F, Corporaal H, De Man H, De Roest D, Stucchi M and Maex K Global interconnect trade-off for technology over memory modules to application level Proceedings of the 2003 international workshop on System-level interconnect prediction, (125-132)
  132. ACM
    Ramachandran A and Jacome M Xtream-Fit Proceedings of the 40th annual Design Automation Conference, (137-142)
  133. ACM
    Choi Y and Kim T Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design Proceedings of the 40th annual Design Automation Conference, (881-886)
  134. ACM
    Zhang W, Chen G, Kandemir M and Karakoy M Interprocedural optimizations for improving data cache performance of array-intensive embedded applications Proceedings of the 40th annual Design Automation Conference, (887-892)
  135. ACM
    Vanbroekhoven P, Janssens G, Bruynooghe M, Corporaal H and Catthoor F (2019). Advanced copy propagation for arrays, ACM SIGPLAN Notices, 38:7, (24-33), Online publication date: 11-Jul-2003.
  136. ACM
    Vanbroekhoven P, Janssens G, Bruynooghe M, Corporaal H and Catthoor F Advanced copy propagation for arrays Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems, (24-33)
  137. ACM
    Kim H, Vijaykrishnan N, Kandemir M, Brockmeyer E, Catthoor F and Irwin M Estimating influence of data layout optimizations on SDRAM energy consumption Proceedings of the 2003 international symposium on Low power electronics and design, (40-43)
  138. ACM
    Chen G, Kandemir M, Saputra H and Irwin M Exploiting bank locality in multi-bank memories Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems, (287-297)
  139. Unnikrishnan P, Chen G, Kandemir M, Karakoy M and Kolcu I Loop transformations for reducing data space requirements of resource-constrained applications Proceedings of the 10th international conference on Static analysis, (383-400)
  140. Bougard B, Van Der Perre L, Maessen F, Giulietti A, Derudder V and Catthoor F (2003). Memory Power Reduction for High-Speed Implementation of Turbo Codes, Journal of VLSI Signal Processing Systems, 33:3, (307-316), Online publication date: 1-Mar-2003.
  141. La Rosa A, Lavagno L and Passerone C Hardware/Software Design Space Exploration for a Reconfigurable Processor Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  142. Memik G, Kandemir M, Choudhary A and Kadayif I An Integrated Approach for Improving Cache Behavior Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  143. De La Luz V, Kandemir M, Kadayif I and Sezer U Generalized Data Transformations for Enhancing Cache Behavior Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  144. Huang C, Ravi S, Raghunathan A and Jha N Synthesis of Heterogeneous Distributed Architectures for Memory-Intensive Applications Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  145. Chen G, Kandemir M, Nadgir A and Sezer U Array Composition and Decomposition for Optimizing Embedded Applications Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  146. Cupák M, Catthoor F and De Man H (2003). Efficient System-Level Functional Verification Methodology for Multimedia Applications, IEEE Design & Test, 20:2, (56-64), Online publication date: 1-Mar-2003.
  147. Bastoul C and Feautrier P Improving data locality by chunking Proceedings of the 12th international conference on Compiler construction, (320-334)
  148. ACM
    Kim S, Vijaykrishnan N, Kandemir M, Sivasubramaniam A and Irwin M (2003). Partitioned instruction cache architecture for energy efficiency, ACM Transactions on Embedded Computing Systems (TECS), 2:2, (163-185), Online publication date: 1-May-2003.
  149. ACM
    Rabbah R and Palem K (2003). Data remapping for design space optimization of embedded memory systems, ACM Transactions on Embedded Computing Systems (TECS), 2:2, (186-218), Online publication date: 1-May-2003.
  150. Vandecappelle A, Bougard B, Shashidhar K and Catthoor F Low-power design of turbo decoder with exploration of energy-throughput trade-off Compilers and operating systems for low power, (173-191)
  151. ACM
    Luz V, Kandemir M and Kolcu I Automatic data migration for reducing energy consumption in multi-bank memory systems Proceedings of the 39th annual Design Automation Conference, (213-218)
  152. ACM
    Delaluz V, Sivasubramaniam A, Kandemir M, Vijaykrishnan N and Irwin M Scheduler-based DRAM energy management Proceedings of the 39th annual Design Automation Conference, (697-702)
  153. ACM
    Kandemir M, Ramanujam J and Choudhary A Exploiting shared scratch pad memory space in embedded multiprocessor systems Proceedings of the 39th annual Design Automation Conference, (219-224)
  154. ACM
    Kandemir M and Choudhary A Compiler-directed scratch pad memory hierarchy design and management Proceedings of the 39th annual Design Automation Conference, (628-633)
  155. Chatzigeorgiou A and Stephanides G (2019). Energy Metric for Software Systems, Software Quality Journal, 10:4, (355-371), Online publication date: 1-Dec-2002.
  156. ACM
    Palem K, Rabbah R, Mooney V, Korkmaz P and Puttaswamy K Design space optimization of embedded memory systems via data remapping Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems, (28-37)
  157. ACM
    Peymandoust A, Micheli G and Simunic T Complex library mapping for embedded software using symbolic algebra Proceedings of the 39th annual Design Automation Conference, (325-330)
  158. ACM
    Gharsalli F, Meftali S, Rousseau F and Jerraya A Automatic generation of embedded memory wrapper for multiprocessor SoC Proceedings of the 39th annual Design Automation Conference, (596-601)
  159. ACM
    Schaumont P, Kuo H and Verbauwhede I Unlocking the design secrets of a 2.29 Gb/s Rijndael processor Proceedings of the 39th annual Design Automation Conference, (634-639)
  160. ACM
    Palem K, Rabbah R, Mooney V, Korkmaz P and Puttaswamy K (2019). Design space optimization of embedded memory systems via data remapping, ACM SIGPLAN Notices, 37:7, (28-37), Online publication date: 17-Jul-2002.
  161. ACM
    Derrien S and Rajopadhye S Energy/power estimation of regular processor arrays Proceedings of the 15th international symposium on System Synthesis, (50-55)
  162. ACM
    Kandemir M, Kadayif I, Choudhary A and Zambreno J Optimizing inter-nest data locality Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems, (127-135)
  163. ACM
    Daylight E, Fermentel T, Ykman-Couvreur C and Catthoor F Incorporating energy efficient data structures into modular software implementations for internet-based embedded systems Proceedings of the 3rd international workshop on Software and performance, (134-141)
  164. ACM
    Huang C, Ravi S, Raghunathan A and Jha N High-level synthesis of distributed logic-memory architectures Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (564-571)
  165. ACM
    Chen G, Kandemir M, Vijaykrishnan N, Irwin M and Wolf W Energy savings through compression in embedded Java environments Proceedings of the tenth international symposium on Hardware/software codesign, (163-168)
  166. ACM
    Kadayif I, Kandemir M, Kolcu I and Chen G Locality-conscious process scheduling in embedded systems Proceedings of the tenth international symposium on Hardware/software codesign, (193-198)
  167. Corbal J, Espasa R and Valero M Three-dimensional memory vectorization for high bandwidth media memory systems Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, (149-160)
  168. Kadayif I, Sivasubramaniam A, Kandemir M, Kandiraju G and Chen G Generating physical addresses directly for saving instruction TLB energy Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, (185-196)
  169. Basu K, Choudhary A, Pisharath J and Kandemir M Power protocol Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, (345-355)
  170. Kandemir M, Vijaykrishnan N and Irwin M Compiler optimizations for low power systems Power aware computing, (191-210)
  171. Delaluz V, Kandemir M, Vijaykrishnan N, Irwin M, Sivasubramaniam A and Kolcu I Compiler-Directed Array Interleaving for Reducing Energy in Multi-Bank Memories Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  172. Crosbie N, Kandemir M, Kolcu I, Ramanujam J and Choudhary A Strategies for Improving Data Locality in Embedded Applications Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  173. Ramanujam J, Krishnamurthy S, Hong J and Kandemir M Address Code and Arithmetic Optimizations for Embedded Systems Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  174. Kadayif I, Kandemir M, Vijaykrishnan N, Irwin M and Sivasubramaniam A EAC Proceedings of the conference on Design, automation and test in Europe
  175. Grun P, Dutt N and Nicolau A Memory System Connectivity Exploration Proceedings of the conference on Design, automation and test in Europe
  176. ACM
    Daylight E, Wuytack S, Ykman-Couvreur C and Catthoor F Analyzing energy friendly steady state phases of dynamic application execution in terms of sparse data structures Proceedings of the 2002 international symposium on Low power electronics and design, (76-79)
  177. ACM
    Okuma T, Cao Y, Muroyama M and Yasuura H Reducing access energy of on-chip data memory considering active data bitwidth Proceedings of the 2002 international symposium on Low power electronics and design, (88-91)
  178. ACM
    Henning R and Chakrabarti C Low-power approach for decoding convolutional codes with adaptive viterbi algorithm approximations Proceedings of the 2002 international symposium on Low power electronics and design, (68-71)
  179. ACM
    Dutt N, Nicolau A, Tomiyama H and Halambi A New directions in compiler technology for embedded systems (embedded tutorial) Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (409-414)
  180. ACM
    Kandemir M and Kadayif I Compiler-directed selection of dynamic memory layouts Proceedings of the ninth international symposium on Hardware/software codesign, (219-224)
  181. ACM
    Kadayif I, Kandemir M, Vijaykrishnan N, Irwin M and Ramanujam J (2019). Morphable Cache Architectures, ACM SIGPLAN Notices, 36:8, (128-137), Online publication date: 1-Aug-2001.
  182. ACM
    Kadayif I, Kandemir M, Vijaykrishnan N, Irwin M and Ramanujam J Morphable Cache Architectures Proceedings of the ACM SIGPLAN workshop on Languages, compilers and tools for embedded systems, (128-137)
  183. ACM
    Kadayif I, Kandemir M, Vijaykrishnan N, Irwin M and Ramanujam J Morphable Cache Architectures Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems, (128-137)
  184. ACM
    Kandemir M, Kadayif I and Sezer U Exploiting scratch-pad memory using Presburger formulas Proceedings of the 14th international symposium on Systems synthesis, (7-12)
  185. ACM
    van Meeuwen T, Vandecappelle A, van Zelst A, Catthoor F and Verkest D System-level interconnect architecture exploration for custom memory organizations Proceedings of the 14th international symposium on Systems synthesis, (13-18)
  186. ACM
    Meftali S, Gharsalli F, Rousseau F and Jerraya A An optimal memory allocation for application-specific multiprocessor system-on-chip Proceedings of the 14th international symposium on Systems synthesis, (19-24)
  187. ACM
    Grun P, Dutt N and Nicolau A APEX Proceedings of the 14th international symposium on Systems synthesis, (25-32)
  188. ACM
    Panda P, Semeria L and de Micheli G Cache-efficient memory layout of aggregate data structures Proceedings of the 14th international symposium on Systems synthesis, (101-106)
  189. ACM
    Chung E, Benini L and De Micheli G Source code transformation based on software cost analysis Proceedings of the 14th international symposium on Systems synthesis, (153-158)
  190. ACM
    Kim S, Vijaykrishnan N, Kandemir M and Irwin M Energy-efficient instruction cache using page-based placement Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems, (229-237)
  191. Vijaykrishnan N, Kandemir M, Kim S, Tomar S, Sivasubramaniam A and Irwin M Energy behavior of java applications from the memory perspective Proceedings of the 2001 Symposium on JavaTM Virtual Machine Research and Technology Symposium - Volume 1, (23-23)
  192. Piguet C, Renaudin M and Omnés T Low-power systems on chips (SOCs) Proceedings of the conference on Design, automation and test in Europe
  193. Hartenstein R A decade of reconfigurable computing Proceedings of the conference on Design, automation and test in Europe, (642-649)
  194. Ouaiss I and Vemuri R Hierarchical memory mapping during synthesis in FPGA-based reconfigurable computers Proceedings of the conference on Design, automation and test in Europe, (650-657)
  195. Kulkarni C, Ghez C, Miranda M, Catthoor F and de Man H Cache conscious data layout organization for embedded multimedia applications Proceedings of the conference on Design, automation and test in Europe, (686-693)
  196. Grun P, Dutt N and Nicolau A Access pattern based local memory customization for low power embedded systems Proceedings of the conference on Design, automation and test in Europe, (778-784)
  197. Zhu J Static memory allocation by pointer analysis and coloring Proceedings of the conference on Design, automation and test in Europe, (785-790)
  198. Kim H, Kandemir M, Vijaykrishnan N and Irwin M Characterization of memory energy behavior Workload characterization of emerging computer applications, (165-180)
  199. Kandemir M, Sezer U and Delaluz V Improving memory energy using access pattern classification Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (201-206)
  200. Zhu J and Rogers E Color permutation Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (380-383)
  201. Kulkarni C, Danckaert K, Catthoor F and Gupta M Interaction between parallel compilation and data transfer and storage cost minimization for multimedia applications Practical parallel computing, (21-36)
  202. Memory Hierarchy Optimization of Multimedia Applications on Programmable Embedded Cores 1 Proceedings of the 2nd International Symposium on Quality Electronic Design
  203. Delaluz V, Kandemir M, Vijaykrishnan N, Sivasubramaniam A and Irwin M (2001). Hardware and Software Techniques for Controlling DRAM Power Modes, IEEE Transactions on Computers, 50:11, (1154-1173), Online publication date: 1-Nov-2001.
  204. ACM
    Kandemir M, Ramanujam J, Irwin J, Vijaykrishnan N, Kadayif I and Parikh A Dynamic management of scratch-pad memory space Proceedings of the 38th annual Design Automation Conference, (690-695)
  205. ACM
    Kjeldsberg P, Catthoor F and Aas E Detection of partially simultaneously alive signals in storage requirement estimation for data intensive applications Proceedings of the 38th annual Design Automation Conference, (365-370)
  206. ACM
    Ramanujam J, Hong J, Kandemir M and Narayan A Reducing memory requirements of nested loops for embedded systems Proceedings of the 38th annual Design Automation Conference, (359-364)
  207. Panda P, Dutt N, Nicolau A, Catthoor F, Vandecappelle A, Brockmeyer E, Kulkarni C and De Greef E (2001). Data Memory Organization and Optimizations in Application-Specific Systems, IEEE Design & Test, 18:3, (56-68), Online publication date: 1-May-2001.
  208. Catthoor F, Danckaert K, Wuytack S and Dutt N (2001). Code Transformations for Data Transfer and Storage Exploration Preprocessing in Multimedia Processors, IEEE Design & Test, 18:3, (70-82), Online publication date: 1-May-2001.
  209. ACM
    Benini L, Macchiarulo L, Macii A, Macii E and Poncino M From architecture to layout Proceedings of the 38th annual Design Automation Conference, (784-789)
  210. ACM
    Kjeldsberg P, Catthoor F and Aas E Storage requirement estimation for data intensive applications with partially fixed execution ordering Proceedings of the eighth international workshop on Hardware/software codesign, (56-60)
  211. ACM
    Omnés T, Franzetti T and Catthoor F Interactive co-design of high throughput embedded multimedia Proceedings of the 37th Annual Design Automation Conference, (328-331)
  212. ACM
    Ellervee P, Miranda M, Catthoor F and Hemani A System-level data format exploration for dynamically allocated data structures Proceedings of the 37th Annual Design Automation Conference, (556-559)
  213. ACM
    Vijaykrishnan N, Kandemir M, Irwin M, Kim H and Ye W Energy-driven integrated hardware-software optimizations using SimplePower Proceedings of the 27th annual international symposium on Computer architecture, (95-106)
  214. ACM
    Vijaykrishnan N, Kandemir M, Irwin M, Kim H and Ye W (2000). Energy-driven integrated hardware-software optimizations using SimplePower, ACM SIGARCH Computer Architecture News, 28:2, (95-106), Online publication date: 1-May-2000.
  215. ACM
    Gupta S, Miranda M, Catthoor F and Gupta R Analysis of high-level address code transformations for programmable processors Proceedings of the conference on Design, automation and test in Europe, (9-13)
  216. ACM
    Vermeulen F, Catthor F, Verkest D and DeMan H Formalized three-layer system-level reuse model and methodology for embedded data-dominated applications Proceedings of the conference on Design, automation and test in Europe, (92-98)
  217. ACM
    Catthoor F, Dutt N and Kozyrakis C How to solve the current memory access and data transfer bottlenecks Proceedings of the conference on Design, automation and test in Europe, (426-435)
  218. ACM
    Benini L, Macii A and Poncino M A recursive algorithm for low-power memory partitioning Proceedings of the 2000 international symposium on Low power electronics and design, (78-83)
  219. ACM
    Brockmeyer E, Vandecappelle A and Catthoor F Systematic cycle budget versus system power trade-off Proceedings of the 2000 international symposium on Low power electronics and design, (137-142)
  220. ACM
    Esakkimuthu G, Vijaykrishnan N, Kandemir M and Irwin M Memory system energy (poster session) Proceedings of the 2000 international symposium on Low power electronics and design, (244-246)
  221. Van Achteren T, Lauwereins R and Catthoor F Systematic data reuse exploration methodology for irregular access patterns Proceedings of the 13th international symposium on System synthesis, (115-121)
  222. Brockmeyer E, Vandecappelle A, Wuytack S and Catthoor F Low power storage cycle budget distribution tool support for hierarchical graphs Proceedings of the 13th international symposium on System synthesis, (200-206)
  223. Kjeldsberg P, Catthoor F and Aas E Automated data dependency size estimation with a partially fixed execution ordering Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (44-50)
  224. Grun P, Dutt N and Nicolau A MIST Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (431-438)
  225. Nachtergaele L, Tiwari V and Dutt N System and architecture-level power reduction of microprocessor-based communication and multi-media applications Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (569-574)
  226. Jacome M and Veciana G (2000). Design Challenges for New Application-Specific Processors, IEEE Design & Test, 17:2, (40-50), Online publication date: 1-Apr-2000.
  227. Benini L, Macii A, Macii E and Poncino M (2000). Increasing Energy Efficiency of Embedded Systems by Application-Specific Memory Hierarchy Generation, IEEE Design & Test, 17:2, (74-85), Online publication date: 1-Apr-2000.
  228. ACM
    Benini L and Micheli G (2000). System-level power optimization, ACM Transactions on Design Automation of Electronic Systems (TODAES), 5:2, (115-192), Online publication date: 1-Apr-2000.
  229. ACM
    De Micheli G Hardware synthesis from C/C++ models Proceedings of the conference on Design, automation and test in Europe, (80-es)
  230. ACM
    Vandecappelle A, Miranda M, Brockmeyer E, Catthoor F and Verkest D Global multimedia system design exploration using accurate memory organization feedback Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (327-332)
  231. ACM
    Nachtergaele L, Vanhoof B, Peón M, Lafruit G, Bormans J and Bolsens I Implementation of a scalable MPEG-4 wavelet-based visual texture compression system Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (333-336)
  232. ACM
    Schurgers C, Catthoor F and Engels M Energy efficient data transfer and storage organization for a MAP turbo decoder module Proceedings of the 1999 international symposium on Low power electronics and design, (76-81)
  233. ACM
    Masselos K, Danckaert K, Catthoor F, Goutis C and DeMan H A methodology for power efficient partitioning of data-dominated algorithm specifications within performance constraints Proceedings of the 1999 international symposium on Low power electronics and design, (270-272)
  234. Vanhoof B, Peón M, Lafruit G, Bormans J, Nachtergaele L and Bolsens I (1999). A Scalable Architecture for MPEG-4 Wavelet Quantization, Journal of VLSI Signal Processing Systems, 23:1, (93-107), Online publication date: 1-Oct-1999.
  235. Kulkarni C, Danckaert K, Catthoor F and Gupta M Interaction Between Data Parallel Compilation and Data Transfer and Storage Cost Minimization for Multimedia Applications Proceedings of the 5th International Euro-Par Conference on Parallel Processing, (668-676)
  236. Catthoor F, Verkest D and Brockmeyer E Proposal for unified system design meta flow in task-level and instruction-level design technology research for multi-media applications Proceedings of the 11th international symposium on System synthesis, (89-95)
Contributors
  • Interuniversity Microelectronics Centre
  • Interuniversity Microelectronics Centre
  • Interuniversity Microelectronics Centre

Recommendations