skip to main content
Skip header Section
Dynamic Power Management: Design Techniques and CAD ToolsJanuary 1998
Publisher:
  • Kluwer Academic Publishers
  • 101 Philip Drive Assinippi Park Norwell, MA
  • United States
ISBN:978-0-7923-8086-3
Published:01 January 1998
Pages:
248
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

From the Publisher:

Dynamic Power Management: Design Techniques and CAD Tools addresses design techniques and computer-aided design solutions for power management. Different approaches are presented and organized in an order related to their applicability to control-units, macro-blocks, digital circuits and electronic systems, respectively. All approaches are based on the principle of exploiting idleness of circuits, systems, or portions thereof. They involve both detection of idleness conditions and the freezing of power-consuming activities in the idle components. Dynamic Power Management: Design Techniques and CAD Tools is of interest to researchers and developers of computer-aided design tools for integrated circuits and systems, as well as to system designers.

Cited By

  1. Gebregiorgis A and Tahoori M (2018). Fine-Grained Energy-Constrained Microprocessor Pipeline Design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26:3, (457-469), Online publication date: 1-Mar-2018.
  2. Bhat G, Singla G, Unver A and Ogras U (2018). Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26:3, (544-557), Online publication date: 1-Mar-2018.
  3. ACM
    Paul A (2014). Real-Time Power Management for Embedded M2M Using Intelligent Learning Methods, ACM Transactions on Embedded Computing Systems, 13:5s, (1-22), Online publication date: 15-Dec-2014.
  4. ACM
    Zanini F, Atienza D, Jones C, Benini L and De Micheli G (2013). Online thermal control methods for multiprocessor systems, ACM Transactions on Design Automation of Electronic Systems (TODAES), 18:1, (1-26), Online publication date: 1-Jan-2013.
  5. ACM
    Chippa V, Roy K, Chakradhar S and Raghunathan A (2013). Managing the Quality vs. Efficiency Trade-off Using Dynamic Effort Scaling, ACM Transactions on Embedded Computing Systems (TECS), 12:2s, (1-23), Online publication date: 1-May-2013.
  6. ACM
    McIntire D, Stathopoulos T, Reddy S, Schmidt T and Kaiser W (2012). Energy-Efficient Sensing with the Low Power, Energy Aware Processing (LEAP) Architecture, ACM Transactions on Embedded Computing Systems (TECS), 11:2, (1-36), Online publication date: 1-Jul-2012.
  7. ACM
    Zarrabi H, Al-Khalili A and Savaria Y Repeater insertion in power-managed VLSI systems Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, (395-398)
  8. ACM
    Chippa V, Raghunathan A, Roy K and Chakradhar S Dynamic effort scaling Proceedings of the 48th Design Automation Conference, (603-608)
  9. Li Q and Zhou M The Survey and Future Evolution of Green Computing Proceedings of the 2011 IEEE/ACM International Conference on Green Computing and Communications, (230-233)
  10. Rizvandi N, Taheri J, Zomaya A and Lee Y Linear Combinations of DVFS-Enabled Processor Frequencies to Modify the Energy-Aware Scheduling Algorithms Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing, (388-397)
  11. Cao Z, Foo B, He L and Van Der Schaar M (2018). Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications, IEEE Transactions on Circuits and Systems Part I: Regular Papers, 57:3, (681-690), Online publication date: 1-Mar-2010.
  12. Huang L and Xu Q AgeSim Proceedings of the Conference on Design, Automation and Test in Europe, (51-56)
  13. Bang S, Bang K, Yoon S and Chung E (2009). Run-time adaptive workload estimation for dynamic voltage scaling, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:9, (1334-1347), Online publication date: 1-Sep-2009.
  14. Foo B and Van Der Schaar M (2018). Informationally decentralized system resource management for multiple multimedia tasks, IEEE Transactions on Circuits and Systems for Video Technology, 19:9, (1352-1364), Online publication date: 1-Sep-2009.
  15. Jung H, Hwang A and Pedram M (2009). Predictive-flow-queue-based energy optimization for gigabit ethernet controllers, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:8, (1113-1126), Online publication date: 1-Aug-2009.
  16. Liu S and Zhang M Evaluation of learning algorithms for optimal policy representation in sensor-network based human health monitoring systems Proceedings of the 7th international conference on Information, communications and signal processing, (429-433)
  17. Bhatti M, Farooq M, Belleudy C, Auguin M and Mbarek O Assertive dynamic power management (AsDPM) strategy for globally scheduled RT multiprocessor systems Proceedings of the 19th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (116-126)
  18. ACM
    Lee K, Chang N, Zhuo J, Chakrabarti C, Kadri S and Vrudhula S (2008). A fuel-cell-battery hybrid for portable embedded systems, ACM Transactions on Design Automation of Electronic Systems (TODAES), 13:1, (1-34), Online publication date: 1-Jan-2008.
  19. ACM
    Cao Z, Foo B, He L and van der Schaar M Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications Proceedings of the 45th annual Design Automation Conference, (179-184)
  20. ACM
    Mandal S and Mahapatra R PowerAntz Proceedings of the 2008 international symposium on Low Power Electronics & Design, (177-182)
  21. ACM
    Jung H and Pedram M Resilient dynamic power management under uncertainty Proceedings of the conference on Design, automation and test in Europe, (224-229)
  22. Cao C and Oelmann B (2008). Low-power state encoding for partitioned FSMs with mixed synchronous/asynchronous state memory, Integration, the VLSI Journal, 41:1, (123-134), Online publication date: 1-Jan-2008.
  23. ACM
    Cho Y, Kim Y and Chang N PVS Proceedings of the 2007 international symposium on Low power electronics and design, (135-140)
  24. ACM
    Fei Y, Ravi S, Raghunathan A and Jha N (2007). Energy-optimizing source code transformations for operating system-driven embedded software, ACM Transactions on Embedded Computing Systems, 7:1, (1-26), Online publication date: 1-Dec-2007.
  25. Babighian P, Kamhi G and Vardi M Interactive presentation: PowerQuest Proceedings of the conference on Design, automation and test in Europe, (1078-1083)
  26. Rosinger S, Helms D and Nebel W RTL power modeling and estimation of sleep transistor based power gating Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation, (278-287)
  27. Panda P Abridged addressing Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (892-897)
  28. ACM
    Hsu J, Zahedi S, Kansal A, Srivastava M and Raghunathan V Adaptive duty cycling for energy harvesting systems Proceedings of the 2006 international symposium on Low power electronics and design, (180-185)
  29. ACM
    Dhiman G and Rosing T Dynamic power management using machine learning Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (747-754)
  30. Guo Y and Cavallaro J (2006). A Low Complexity and Low Power SoC Design Architecture for Adaptive MAI Suppression in CDMA Systems, Journal of VLSI Signal Processing Systems, 44:3, (195-217), Online publication date: 1-Sep-2006.
  31. Lee H Algorithm for the predictive hibernation of sensor systems Proceedings of the Third international conference on Ubiquitous Computing Systems, (489-499)
  32. Lin C, He Y, Xiong N and Yang L Improved dynamic power management in wireless sensor networks Proceedings of the Third international conference on Ubiquitous Intelligence and Computing, (447-456)
  33. Ren Z, H. Krogh B and Marculescu R (2005). Hierarchical Adaptive Dynamic Power Management, IEEE Transactions on Computers, 54:4, (409-420), Online publication date: 1-Apr-2005.
  34. Raghunathan V, Pereira C, Srivastava M and Gupta R (2018). Energy-aware wireless systems with adaptive power-fidelity tradeoffs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13:2, (211-225), Online publication date: 1-Feb-2005.
  35. Yardi S, Channakeshava K, Hsiao M, Martin T and Ha D A Formal Framework for Modeling and Analysis of System-Level Dynamic Power Management Proceedings of the 2005 International Conference on Computer Design, (119-126)
  36. Irani S, Singh G, Shukla S and Gupta R (2005). An overview of the competitive and adversarial approaches to designing dynamic power management strategies, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13:12, (1349-1361), Online publication date: 1-Dec-2005.
  37. Yardi S, Hsiao M, Martin T and Ha D Quality-Driven Proactive Computation Elimination for Power-Aware Multimedia Processing Proceedings of the conference on Design, Automation and Test in Europe - Volume 1, (340-345)
  38. Okamura H and Dohi T Performance evaluation of power-aware communication network devices Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing, (245-254)
  39. ACM
    Raghunathan V, Pering T, Want R, Nguyen A and Jensen P Experience with a low power wireless mobile computing platform Proceedings of the 2004 international symposium on Low power electronics and design, (363-368)
  40. Babighian P, Benini L and Macii E A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks Proceedings of the conference on Design, automation and test in Europe - Volume 1
  41. Ren Z, Krogh B and Marculescu R Hierarchical Adaptive Dynamic Power Management Proceedings of the conference on Design, automation and test in Europe - Volume 1
  42. Wu Q and Xiong G Why simple timeout strategies work perfectly in practice? Proceedings of the First international conference on Embedded Software and Systems, (468-473)
  43. ACM
    Raghunathan V, Ganeriwal S, Srivastava M and Schurgers C (2004). Energy efficient wireless packet scheduling and fair queuing, ACM Transactions on Embedded Computing Systems (TECS), 3:1, (3-23), Online publication date: 1-Feb-2004.
  44. ACM
    Benini L, Macii A, Macii E, Omerbegovic E, Poncino M and Pro F A novel architecture for power maskable arithmetic units Proceedings of the 13th ACM Great Lakes symposium on VLSI, (136-140)
  45. Bertozzi D, Raghunathan A, Benini L and Ravi S Transport Protocol Optimization for Energy Efficient Wireless Embedded Systems Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  46. Gupta R, Irani S and Shukla S Formal Methods for Dynamic Power Management Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  47. ACM
    Lahiri K, Dey S and Raghunathan A Communication architecture based power management for battery efficient system design Proceedings of the 39th annual Design Automation Conference, (691-696)
  48. Lu Y, Benini L and De Micheli G (2018). Power-aware operating systems for interactive systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 10:2, (119-134), Online publication date: 22-Apr-2002.
  49. Chung E, Benini L, Bogliolo A, Lu Y and De Micheli G (2002). Dynamic Power Management for Nonstationary Service Requests, IEEE Transactions on Computers, 51:11, (1345-1361), Online publication date: 1-Nov-2002.
  50. Lajolo M, Raghunathan A, Dey S and Lavagno L (2018). Cosimulation-based power estimation for system-on-chip design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 10:3, (253-266), Online publication date: 1-Jun-2002.
  51. ACM
    Worm F, Ienne P, Thiran P and De Micheli G An adaptive low-power transmission scheme for on-chip networks Proceedings of the 15th international symposium on System Synthesis, (92-100)
  52. ACM
    Daylight E, Fermentel T, Ykman-Couvreur C and Catthoor F Incorporating energy efficient data structures into modular software implementations for internet-based embedded systems Proceedings of the 3rd international workshop on Software and performance, (134-141)
  53. ACM
    Lahiri K, Raghunathan A and Dey S Fast system-level power profiling for battery-efficient system design Proceedings of the tenth international symposium on Hardware/software codesign, (157-162)
  54. Simunic T Dynamic management of power consumption Power aware computing, (101-125)
  55. Pereira C, Gupta R, Spanos P and Srivastava M A power-aware API for embedded and portable systems Power aware computing, (153-166)
  56. Lahiri K, Dey S, Panigrahi D and Raghunathan A Battery-Driven System Design Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  57. Lee T, Wolf W and Henkel J Dynamic Runtime Re-Scheduling Allowing Multiple Implementations of a Task for Platform-Based Designs Proceedings of the conference on Design, automation and test in Europe
  58. ACM
    Raghunathan V, Ganeriwal S, Schurgers C and Srivastava M EWFQ Proceedings of the 2002 international symposium on Low power electronics and design, (30-35)
  59. ACM
    Daylight E, Wuytack S, Ykman-Couvreur C and Catthoor F Analyzing energy friendly steady state phases of dynamic application execution in terms of sparse data structures Proceedings of the 2002 international symposium on Low power electronics and design, (76-79)
  60. Hughes C, Srinivasan J and Adve S Saving energy with architectural and frequency adaptations for multimedia applications Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture, (250-261)
  61. Raghunathan V, Ravi S, Raghunathan A and Lakshminarayana G Transient power management through high level synthesis Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (545-552)
  62. Benini L, Bogliolo A and De Micheli G A survey of design techniques for system-level dynamic power management Readings in hardware/software co-design, (231-248)
  63. Sinha A and Chandrakasan A (2001). Dynamic Power Management in Wireless Sensor Networks, IEEE Design & Test, 18:2, (62-74), Online publication date: 1-Mar-2001.
  64. ACM
    Dick R, Lakshminarayana G, Raghunathan A and Jha N Power analysis of embedded operating systems Proceedings of the 37th Annual Design Automation Conference, (312-315)
  65. ACM
    Qiu Q, Wu Q and Pedram M Dynamic power management of complex systems using generalized stochastic Petri nets Proceedings of the 37th Annual Design Automation Conference, (352-356)
  66. ACM
    Lajolo M, Raghunathan A and Dey S Efficient power co-estimation techniques for system-on-chip design Proceedings of the conference on Design, automation and test in Europe, (27-34)
  67. ACM
    Ramanathan D and Gupta R System level online power management algorithms Proceedings of the conference on Design, automation and test in Europe, (606-611)
  68. ACM
    Simunic T, Benini L, Glynn P and De Micheli G Dynamic power management for portable systems Proceedings of the 6th annual international conference on Mobile computing and networking, (11-19)
  69. ACM
    Okamura H, Dohi T and Osaki S Performance analysis of a transaction based software system with shutdown Proceedings of the 2nd international workshop on Software and performance, (168-179)
  70. ACM
    Frédéric P, Gilbert C, Michel B, Teresa H, Valérie I and Jean-Philippe L Increasing appliance autonomy using energy-aware scheduling of Java multimedia applications Proceedings of the 9th workshop on ACM SIGOPS European workshop: beyond the PC: new challenges for the operating system, (171-175)
  71. Ramanathan D, Irani S and Gupta R Latency effects of system level power management algorithms Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (350-356)
  72. ACM
    Benini L and Micheli G (2000). System-level power optimization, ACM Transactions on Design Automation of Electronic Systems (TODAES), 5:2, (115-192), Online publication date: 1-Apr-2000.
  73. ACM
    Lu Y, Šimunić T and De Micheli G Software controlled power management Proceedings of the seventh international workshop on Hardware/software codesign, (157-161)
  74. ACM
    Chung E, Benini L, Bogiolo A and De Micheli G Dynamic power management for non-stationary service requests Proceedings of the conference on Design, automation and test in Europe, (18-es)
  75. ACM
    Lakshminarayana G, Raghunathan A, Khouri K, Jha N and Dey S Common-case computation Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (56-61)
  76. ACM
    Benini L, De Micheli G, Macii E, Odasso G and Poncino M Kernel-based power optimization of RTL components Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (247-252)
  77. ACM
    Qiu Q and Pedram M Dynamic power management based on continuous-time Markov decision processes Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (555-561)
  78. ACM
    Qiu Q, Wu Q and Pedram M Stochastic modeling of a power-managed system Proceedings of the 1999 international symposium on Low power electronics and design, (194-199)
  79. ACM
    Benini L and De Micheli G System-level power optimization Proceedings of the 1999 international symposium on Low power electronics and design, (288-293)
  80. Simunic T, Giovanni de Micheli and Benini L Event-Driven Power Management of Portable Systems Proceedings of the 12th international symposium on System synthesis
  81. ACM
    Benini L, Hodgson R and Siegel P System-level power estimation and optimization Proceedings of the 1998 international symposium on Low power electronics and design, (173-178)
  82. ACM
    Benini L, Bogliolo A, Cavallucci S and Riccó B Monitoring system activity for OS-directed dynamic power management Proceedings of the 1998 international symposium on Low power electronics and design, (185-190)
  83. ACM
    Lakshminarayana G, Raghunathan A, Jha N and Dey S Transforming control-flow intensive designs to facilitate power management Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (657-664)
  84. ACM
    Benini L, Bogliolo A and De Micheli G Dynamic power management of electronic systems Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (696-702)
  85. ACM
    Benini L, De Micheli G, Lioy A, Macii E, Odasso G and Poncino M Computational kernels and their application to sequential power optimization Proceedings of the 35th annual Design Automation Conference, (764-769)
  86. ACM
    Paleologo G, Benini L, Bogliolo A and De Micheli G Policy optimization for dynamic power management Proceedings of the 35th annual Design Automation Conference, (182-187)
Contributors
  • University of Bologna

Recommendations