skip to main content
Skip header Section
Synthesis and Optimization of Digital CircuitsJanuary 1994
Publisher:
  • McGraw-Hill Higher Education
ISBN:978-0-07-016333-1
Published:01 January 1994
Pages:
576
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

From the Publisher:

Synthesis and Optimization of Digital Circuits offers a modern, up-to-date look at computer-aided design (CAD) of very large-scale integration (VLSI) circuits. In particular, this book covers techniques for synthesis and optimization of digital circuits at the architectural and logic levels, i.e., the generation of performance-and/or area-optimal circuits representations from models in hardware description languages. The book provides a thorough explanation of synthesis and optimization algorithms accompanied by a sound mathematical formulation and a unified notation. The text covers the following topics: modern hardware description languages (e.g., VHDL, Verilog); architectural-level synthesis of data flow and control units, including algorithms for scheduling and resource binding; combinational logic optimization algorithms for two-level and multiple-level circuits; sequential logic optimization methods; and library binding techniques, including those applicable to FPGAs.

Cited By

  1. ACM
    Zhang Y, Zhao Z and Feng Z (2024). diGRASS: Directed Graph Spectral Sparsification via Spectrum-Preserving Symmetrization, ACM Transactions on Knowledge Discovery from Data, 18:4, (1-25), Online publication date: 31-May-2024.
  2. ACM
    Oppermann J, Damian-Kosterhon B, Meisel F, Mürmann T, Jentzsch E and Koch A Longnail: High-Level Synthesis of Portable Custom Instruction Set Extensions for RISC-V Processors from Descriptions in the Open-Source CoreDSL Language Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, (591-606)
  3. ACM
    Qian Y, Zhou X, Zhou H and Wang L (2023). An Efficient Reinforcement Learning Based Framework for Exploring Logic Synthesis, ACM Transactions on Design Automation of Electronic Systems, 29:2, (1-33), Online publication date: 31-Mar-2024.
  4. Barkalov A, Titarenko L and Mielcarek K (2024). Reducing the Number of Luts for Mealy FSMS with State Transformation, International Journal of Applied Mathematics and Computer Science, 34:1, (167-178), Online publication date: 1-Mar-2024.
  5. Zheng H, He Z, Liu F, Pei Z and Yu B LSTP : A Logic Synthesis Timing Predictor Proceedings of the 29th Asia and South Pacific Design Automation Conference, (728-733)
  6. Yang S, Gao X and Ren J (2023). Sequential Circuits Synthesis for Rapid Single Flux Quantum Logic Based on Finite State Machine Decomposition, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 42:10, (3315-3326), Online publication date: 1-Oct-2023.
  7. Krylov D, Khajeh P, Ouyang J, Reeves T, Liu T, Ajmal H, Aghasi H and Fox R Learning to design analog circuits to meet threshold specifications Proceedings of the 40th International Conference on Machine Learning, (17858-17873)
  8. Akshay S, Chakraborty S and Jain S Counterexample Guided Knowledge Compilation for Boolean Functional Synthesis Computer Aided Verification, (367-389)
  9. Mirhosseini M, Fazlali M, Fallah M and Lee J (2023). A fast MILP solver for high-level synthesis based on heuristic model reduction and enhanced branch and bound algorithm, The Journal of Supercomputing, 79:11, (12042-12073), Online publication date: 1-Jul-2023.
  10. ACM
    Sozzo E, Conficconi D, Zeni A, Salaris M, Sciuto D and Santambrogio M (2022). Pushing the Level of Abstraction of Digital System Design: A Survey on How to Program FPGAs, ACM Computing Surveys, 55:5, (1-48), Online publication date: 31-May-2023.
  11. Fallah M, Fazlali M and Daneshtalab M (2023). A symbiosis between population based incremental learning and LP-relaxation based parallel genetic algorithm for solving integer linear programming models, Computing, 105:5, (1121-1139), Online publication date: 1-May-2023.
  12. ACM
    Chen Z, Guo W, Liu G and Huang X (2022). Application Mapping and Control-system Design for Microfluidic Biochips with Distributed Channel Storage, ACM Transactions on Design Automation of Electronic Systems, 28:2, (1-30), Online publication date: 31-Mar-2023.
  13. Babakov R and Barkalov A (2023). A Matrix Method for Detecting Formal Solutions to the Problem of Algebraic Synthesis of a Finite-State Machine with a Datapath of Transitions, Cybernetics and Systems Analysis, 59:2, (190-198), Online publication date: 1-Mar-2023.
  14. Reichl F, Slivovsky F and Szeider S Circuit minimization with QBF-based exact synthesis Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence and Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence and Thirteenth Symposium on Educational Advances in Artificial Intelligence, (4087-4094)
  15. Niu W, Guan J, Shen X, Wang Y, Agrawal G and Ren B GCD2: A Globally Optimizing Compiler for Mapping DNNs to Mobile DSPs Proceedings of the 55th Annual IEEE/ACM International Symposium on Microarchitecture, (512-529)
  16. Barkalov A, Titarenko L and Mazurkiewicz M (2022). Improving the LUT Count for Mealy FSMS with Transformation of Output Collections, International Journal of Applied Mathematics and Computer Science, 32:3, (479-494), Online publication date: 1-Sep-2022.
  17. Zode P and Deshmukh R (2022). Optimization of elliptic curve scalar multiplication using constraint based scheduling, Journal of Parallel and Distributed Computing, 167:C, (232-239), Online publication date: 1-Sep-2022.
  18. Lee S, Riener H, Mishchenko A, Brayton R and De Micheli G (2022). A Simulation-Guided Paradigm for Logic Synthesis and Verification, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41:8, (2573-2586), Online publication date: 1-Aug-2022.
  19. ACM
    Neto W, Amarú L, Possani V, Vuillod P, Luo J, Mishchenko A and Gaillardon P Improving LUT-based optimization for ASICs Proceedings of the 59th ACM/IEEE Design Automation Conference, (421-426)
  20. ACM
    Oh H, Lee J, Kim H and Seo J Out-of-order backprop Proceedings of the Seventeenth European Conference on Computer Systems, (435-452)
  21. Huang X, Guo W, Chen Z, Li B, Ho T and Schlichtmann U (2022). Flow-Based Microfluidic Biochips With Distributed Channel Storage: Synthesis, Physical Design, and Wash Optimization, IEEE Transactions on Computers, 71:2, (464-478), Online publication date: 1-Feb-2022.
  22. ACM
    Poddar S, Bhattacharjee S, Fang S, Ho T and Bhattacharya B (2021). Demand-Driven Multi-Target Sample Preparation on Resource-Constrained Digital Microfluidic Biochips, ACM Transactions on Design Automation of Electronic Systems, 27:1, (1-21), Online publication date: 31-Jan-2022.
  23. ACM
    Gu Z, Wan W, Xie J and Wu C (2021). Dependency Graph-based High-level Synthesis for Maximum Instruction Parallelism, ACM Transactions on Reconfigurable Technology and Systems, 14:4, (1-15), Online publication date: 31-Dec-2022.
  24. Amarú L, Possani V, Testa E, Marranghello F, Casares C, Luo J, Vuillod P, Mishchenko A and De Micheli G LUT-Based Optimization For ASIC Design Flow 2021 58th ACM/IEEE Design Automation Conference (DAC), (871-876)
  25. Pasandi G and Pedram M qSeq: Full Algorithmic and Tool Support for Synthesizing Sequential Circuits in Superconducting SFQ Technology 2021 58th ACM/IEEE Design Automation Conference (DAC), (133-138)
  26. Kokosiński Z Extraction of Nonredundant Information from Sensor Networks 2021 11th IEEE International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications (IDAACS), (403-407)
  27. Barkalov A, Titarenko L and Mielcarek K (2020). Improving characteristics of LUT-based Mealy FSMs, International Journal of Applied Mathematics and Computer Science, 30:4, (745-759), Online publication date: 1-Dec-2020.
  28. Chu Z, Soeken M, Xia Y, Wang L and De Micheli G (2020). Advanced Functional Decomposition Using Majority and Its Applications, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39:8, (1621-1634), Online publication date: 1-Aug-2020.
  29. Riener H, Mishchenko A and Soeken M Exact DAG-aware rewriting Proceedings of the 23rd Conference on Design, Automation and Test in Europe, (732-737)
  30. Reyes Fernandez de Bulnes D, Maldonado Y, Trujillo L and Acacio Sanchez M (2020). Development of Multiobjective High-Level Synthesis for FPGAs, Scientific Programming, 2020, Online publication date: 1-Jan-2020.
  31. ACM
    Wang H and He K (2019). Improving Test and Diagnosis Efficiency through Ensemble Reduction and Learning, ACM Transactions on Design Automation of Electronic Systems, 24:5, (1-26), Online publication date: 19-Oct-2019.
  32. Kokosiński Z Digital Data Convertion Using Content Addressable Memory 2019 10th IEEE International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications (IDAACS), (680-684)
  33. Muller O, Prost-Boucle A, Bourge A and Pétrot F (2019). Efficient Decompression of Binary Encoded Balanced Ternary Sequences, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27:8, (1962-1966), Online publication date: 1-Aug-2019.
  34. Oppermann J, Sommer L and Koch A (2019). SpExSim: assessing kernel suitability for C-based high-level hardware synthesis, The Journal of Supercomputing, 75:8, (4062-4077), Online publication date: 1-Aug-2019.
  35. ACM
    Huang X, Ho T, Guo W, Li B and Schlichtmann U MiniControl Proceedings of the 56th Annual Design Automation Conference 2019, (1-6)
  36. Jahier Pagliari D, Durand Y, Coriat D, Beigne E, Macii E and Poncino M (2019). Fine-Grain Back Biasing for the Design of Energy-Quality Scalable Operators, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38:6, (1042-1055), Online publication date: 1-Jun-2019.
  37. Babakov R and Barkalov A (2019). Structural Classification of Methods for Synthesis of a Microprogram Finite-State Machine with Datapath of Transitions, Cybernetics and Systems Analysis, 55:2, (167-173), Online publication date: 1-Mar-2019.
  38. ACM
    Walter M, Wille R, Torres F, Große D and Drechsler R Scalable design for field-coupled nanocomputing circuits Proceedings of the 24th Asia and South Pacific Design Automation Conference, (197-202)
  39. ACM
    Chu Z, Soeken M, Xia Y, Wang L and De Micheli G Structural rewriting in XOR-majority graphs Proceedings of the 24th Asia and South Pacific Design Automation Conference, (663-668)
  40. Amarù L, Testa E, Couceiro M, Zografos O, De Micheli G and Soeken M Majority Logic Synthesis 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), (1-6)
  41. Duan S, Zwolinski M and Halak B (2018). Lifetime Reliability-Aware Digital Synthesis, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26:11, (2205-2216), Online publication date: 1-Nov-2018.
  42. Barkalov A, Titarenko L and Mielcarek K (2018). Hardware Reduction for Lut–Based Mealy FSMs, International Journal of Applied Mathematics and Computer Science, 28:3, (595-607), Online publication date: 1-Sep-2018.
  43. ACM
    Benoit A, Perarnau S, Pottier L and Robert Y A Performance Model to Execute Workflows on High-Bandwidth-Memory Architectures Proceedings of the 47th International Conference on Parallel Processing, (1-10)
  44. ACM
    Pilato C, Regazzoni F, Karri R and Garg S TAO Proceedings of the 55th Annual Design Automation Conference, (1-6)
  45. Pilato C, Regazzoni F, Karri R and Garg S TAO: Techniques for Algorithm-Level Obfuscation during High-Level Synthesis 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), (1-6)
  46. Opara A, Kubica M and Kania D (2018). Strategy of logic synthesis using MTBDD dedicated to FPGA, Integration, the VLSI Journal, 62:C, (142-158), Online publication date: 1-Jun-2018.
  47. ACM
    Dai S, Liu G and Zhang Z A Scalable Approach to Exact Resource-Constrained Scheduling Based on a Joint SDC and SAT Formulation Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, (137-146)
  48. ACM
    Josipović L, Ghosal R and Ienne P Dynamically Scheduled High-level Synthesis Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, (127-136)
  49. Yu C, Choudhury M, Sullivan A and Ciesielski M Advanced datapath synthesis using graph isomorphism Proceedings of the 36th International Conference on Computer-Aided Design, (424-429)
  50. Amarú L, Soeken M, Vuillod P, Luo J, Mishchenko A, Gaillardon P, Olson J, Brayton R and De Micheli G Enabling exact delay synthesis Proceedings of the 36th International Conference on Computer-Aided Design, (352-359)
  51. Deb A, Wille R and Drechsler R Dedicated synthesis for MZI-based optical circuits based on AND-inverter graphs Proceedings of the 36th International Conference on Computer-Aided Design, (233-238)
  52. Yu C, Choudhury M, Sullivan A and Ciesielski M Advanced datapath synthesis using graph isomorphism 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), (424-429)
  53. Deb A, Wille R and Drechsler R Dedicated synthesis for MZI-based optical circuits based on AND-inverter graphs 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), (233-238)
  54. Possani V, Reis A, Ribas R, Marques F and da Rosa L (2017). Transistor Count Optimization in IG FinFET Network Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36:9, (1483-1496), Online publication date: 1-Sep-2017.
  55. ACM
    Tili I, Ovtcharov K and Steffan J (2017). Reducing the Performance Gap between Soft Scalar CPUs and Custom Hardware with TILT, ACM Transactions on Reconfigurable Technology and Systems, 10:3, (1-23), Online publication date: 21-Jul-2017.
  56. Kubica M, Opara A and Kania D (2017). Logic synthesis for FPGAs based on cutting of BDD, Microprocessors & Microsystems, 52:C, (173-187), Online publication date: 1-Jul-2017.
  57. ACM
    Chu Z, Tang X, Soeken M, Petkovska A, Zgheib G, Amarù L, Xia Y, Ienne P, De Micheli G and Gaillardon P Improving Circuit Mapping Performance Through MIG-based Synthesis for Carry Chains Proceedings of the on Great Lakes Symposium on VLSI 2017, (131-136)
  58. ACM
    Machado L and Cortadella J Boolean Decomposition for AIG Optimization Proceedings of the on Great Lakes Symposium on VLSI 2017, (143-148)
  59. Zhang Z, Batselier K, Liu H, Daniel L and Wong N (2017). Tensor Computation, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36:4, (521-536), Online publication date: 1-Apr-2017.
  60. Kubica M and Kania D (2017). Area–Oriented Technology Mapping for LUT–Based Logic Blocks, International Journal of Applied Mathematics and Computer Science, 27:1, (207-222), Online publication date: 28-Mar-2017.
  61. Chen Y, Sharma S, Roy S and Ho T Scheduling and optimization of genetic logic circuits on flow-based microfluidic biochips Proceedings of the Conference on Design, Automation & Test in Europe, (1809-1814)
  62. Zhang B and Davoodi A Technology mapping with all spin logic Proceedings of the Conference on Design, Automation & Test in Europe, (930-933)
  63. Soeken M, De Micheli G and Mishchenko A Busy man's synthesis Proceedings of the Conference on Design, Automation & Test in Europe, (830-835)
  64. Haaswijk W, Soeken M, Amarù L, Gaillardon P and De Micheli G A novel basis for logic rewriting 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), (151-156)
  65. Ahmadi S (2017). Human behavior-based optimization, Neural Computing and Applications, 28:1, (233-244), Online publication date: 1-Jan-2017.
  66. ACM
    Czerwinski R and Kania D (2016). State Assignment and Optimization of Ultra-High-Speed FSMs Utilizing Tristate Buffers, ACM Transactions on Design Automation of Electronic Systems, 22:1, (1-25), Online publication date: 28-Dec-2016.
  67. ACM
    Wang H and Blanton R (2016). Ensemble Reduction via Logic Minimization, ACM Transactions on Design Automation of Electronic Systems, 21:4, (1-17), Online publication date: 22-Sep-2016.
  68. ACM
    Sivaraman A, Cheung A, Budiu M, Kim C, Alizadeh M, Balakrishnan H, Varghese G, McKeown N and Licking S Packet Transactions Proceedings of the 2016 ACM SIGCOMM Conference, (15-28)
  69. ACM
    Yu C, Ciesielski M, Choudhury M and Sullivan A DAG-aware logic synthesis of datapaths Proceedings of the 53rd Annual Design Automation Conference, (1-6)
  70. ACM
    Soeken M, Shirinzadeh S, Gaillardon P, Amarú L, Drechsler R and De Micheli G An MIG-based compiler for programmable logic-in-memory architectures Proceedings of the 53rd Annual Design Automation Conference, (1-6)
  71. Dousti M, Shafaei A and Pedram M (2016). Squash 2, Quantum Information & Computation, 16:3-4, (332-356), Online publication date: 1-Mar-2016.
  72. ACM
    Chen L, Ebrahimi M and Tahoori M (2016). Reliability-Aware Resource Allocation and Binding in High-Level Synthesis, ACM Transactions on Design Automation of Electronic Systems, 21:2, (1-27), Online publication date: 28-Jan-2016.
  73. Tsoumanis K, Xydis S, Zervakis G and Pekmestzi K (2015). Flexible DSP Accelerator Architecture Exploiting Carry-Save Arithmetic, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24:1, (368-372), Online publication date: 1-Jan-2016.
  74. Kagaris D (2015). MOTO-X: A Multiple-Output Transistor-Level Synthesis CAD Tool, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35:1, (114-127), Online publication date: 1-Jan-2016.
  75. Potkonjak M, Chen D, Kalla P and Levitan S DA Vision 2015 Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, (271-277)
  76. Sudusinghe K, Jiao Y, Salem H, van der Schaar M and Bhattacharyya S (2015). Multiobjective Design Optimization in the Lightweight Dataflow for DDDAS Environment (LiD4E)1, Procedia Computer Science, 51:C, (2563-2572), Online publication date: 1-Sep-2015.
  77. ACM
    Amarù L, Gaillardon P and De Micheli G Boolean logic optimization in majority-inverter graphs Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  78. ACM
    Cilardo A, Fusella E, Gallo L and Mazzeo A (2015). Exploiting Concurrency for the Automated Synthesis of MPSoC Interconnects, ACM Transactions on Embedded Computing Systems, 14:3, (1-24), Online publication date: 21-May-2015.
  79. El-Maleh A, Sait S and Bala A (2015). State assignment for area minimization of sequential circuits based on cuckoo search optimization, Computers and Electrical Engineering, 44:C, (13-23), Online publication date: 1-May-2015.
  80. Heras F, Morgado A and Marques-Silva J (2015). MaxSAT-based encodings for Group MaxSAT, AI Communications, 28:2, (195-214), Online publication date: 1-Apr-2015.
  81. Mahabadi A, Khonsari A, Khodabandeloo B, Noori H and Majidi A (2015). Critical path-aware voltage island partitioning and floorplanning for hard real-time embedded systems, Integration, the VLSI Journal, 48:C, (21-35), Online publication date: 1-Jan-2015.
  82. Shilpa K and LakshmiNarayana C (2022). Natural Computation for Optimal Scheduling with ILP Modeling in High Level Synthesis, Procedia Computer Science, 46:C, (167-175), Online publication date: 1-Jan-2015.
  83. ACM
    Huang H and Densmore D (2014). Fluigi, ACM Journal on Emerging Technologies in Computing Systems, 11:3, (1-19), Online publication date: 30-Dec-2015.
  84. ACM
    Fu C, Zhao M, Xue C and Orailoglu A Sleep-aware variable partitioning for energy-efficient hybrid PRAM and DRAM main memory Proceedings of the 2014 international symposium on Low power electronics and design, (75-80)
  85. ACM
    Mokhov A and Khomenko V (2014). Algebra of Parameterised Graphs, ACM Transactions on Embedded Computing Systems, 13:4s, (1-22), Online publication date: 1-Jul-2014.
  86. ACM
    Amarú L, Gaillardon P and De Micheli G Majority-Inverter Graph Proceedings of the 51st Annual Design Automation Conference, (1-6)
  87. ACM
    Dousti M, Shafaei A and Pedram M Squash Proceedings of the 24th edition of the great lakes symposium on VLSI, (117-122)
  88. Cilardo A, Fusella E, Gallo L and Mazzeo A Joint communication scheduling and interconnect synthesis for FPGA-based many-core systems Proceedings of the conference on Design, Automation & Test in Europe, (1-4)
  89. Burlyaev D, Fradet P and Girault A Verification-guided voter minimization in triple-modular redundant circuits Proceedings of the conference on Design, Automation & Test in Europe, (1-6)
  90. ACM
    Zgheib G, Yang L, Huang Z, Novo D, Parandeh-Afshar H, Yang H and Ienne P Revisiting and-inverter cones Proceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays, (45-54)
  91. ACM
    Ben-Asher Y and Rotem N (2013). The benefits of using variable-length pipelined operations in high-level synthesis, ACM Transactions on Embedded Computing Systems, 13:3, (1-23), Online publication date: 1-Dec-2013.
  92. ACM
    Plavec F, Vranesic Z and Brown S (2013). Exploiting Task- and Data-Level Parallelism in Streaming Applications Implemented in FPGAs, ACM Transactions on Reconfigurable Technology and Systems, 6:4, (1-37), Online publication date: 1-Dec-2013.
  93. Miao J, Gerstlauer A and Orshansky M Approximate logic synthesis under general error magnitude and frequency constraints Proceedings of the International Conference on Computer-Aided Design, (779-786)
  94. Yuan K, Kuo C, Jiang J and Li M Encoding multi-valued functions for symmetry Proceedings of the International Conference on Computer-Aided Design, (771-778)
  95. Barkalov A, Tytarenko L, Malcheva R and Soldatov K (2013). Reducing the Use of Resources in the FPGA Circuit of a Moore FSM, Cybernetics and Systems Analysis, 49:6, (951-958), Online publication date: 1-Nov-2013.
  96. Tendulkar P, Poplavko P and Maler O Symmetry breaking for multi-criteria mapping and scheduling on multicores Proceedings of the 11th international conference on Formal Modeling and Analysis of Timed Systems, (228-242)
  97. ACM
    Amarú L, Gaillardon P and De Micheli G BDS-MAJ Proceedings of the 50th Annual Design Automation Conference, (1-6)
  98. ACM
    Palem K and Lingamneni A (2013). Ten Years of Building Broken Chips, ACM Transactions on Embedded Computing Systems, 12:2s, (1-23), Online publication date: 1-May-2013.
  99. Castellana V and Ferrandi F Scheduling independent liveness analysis for register binding in high level synthesis Proceedings of the Conference on Design, Automation and Test in Europe, (1571-1574)
  100. Gomez-Prado D, Ciesielski M and Tessier R FPGA latency optimization using system-level transformations and DFG restructuring Proceedings of the Conference on Design, Automation and Test in Europe, (1553-1558)
  101. Kondratyev A, Lavagno L, Meyer M and Watanabe Y Share with care Proceedings of the Conference on Design, Automation and Test in Europe, (1547-1552)
  102. Amarú L, Gaillardon P and De Micheli G Biconditional BDD Proceedings of the Conference on Design, Automation and Test in Europe, (1014-1017)
  103. ACM
    Huang S, Tu W, Chang C and Pan S (2013). Low-power anti-aging zero skew clock gating, ACM Transactions on Design Automation of Electronic Systems, 18:2, (1-37), Online publication date: 1-Mar-2013.
  104. ACM
    Huang Y, Ienne P, Temam O, Chen Y and Wu C Elastic CGRAs Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays, (171-180)
  105. ACM
    Maftei E, Pop P and Madsen J (2013). Module-Based Synthesis of Digital Microfluidic Biochips with Droplet-Aware Operation Execution, ACM Journal on Emerging Technologies in Computing Systems, 9:1, (1-21), Online publication date: 1-Feb-2013.
  106. ACM
    Xydis S, Pekmestzi K, Soudris D and Economakos G (2013). Compiler-in-the-loop exploration during datapath synthesis for higher quality delay-area trade-offs, ACM Transactions on Design Automation of Electronic Systems, 18:1, (1-35), Online publication date: 1-Jan-2013.
  107. ACM
    Cancare F, Bartolini D, Carminati M, Sciuto D and Santambrogio M (2012). On the Evolution of Hardware Circuits via Reconfigurable Architectures, ACM Transactions on Reconfigurable Technology and Systems, 5:4, (1-22), Online publication date: 1-Dec-2012.
  108. ACM
    Chen Y, Yang C and Chen J Distributed memory interface synthesis for network-on-chips with 3D-stacked DRAMs Proceedings of the International Conference on Computer-Aided Design, (458-465)
  109. ACM
    Yu C, Alaghi A and Hayes J Scalable sampling methodology for logic simulation Proceedings of the International Conference on Computer-Aided Design, (195-201)
  110. ACM
    Minhass W, Pop P, Madsen J and Blaga F Architectural synthesis of flow-based microfluidic large-scale integration biochips Proceedings of the 2012 international conference on Compilers, architectures and synthesis for embedded systems, (181-190)
  111. ACM
    Wan L and Chen D CCP Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, (135-140)
  112. Polynomial datapath synthesis and optimization based on vanishing polynomial over Z2m and algebraic techniques Proceedings of the Tenth ACM/IEEE International Conference on Formal Methods and Models for Codesign, (65-74)
  113. ACM
    Che W and Chatha K Unrolling and retiming of stream applications onto embedded multicore processors Proceedings of the 49th Annual Design Automation Conference, (1272-1277)
  114. ACM
    Palem K and Lingamneni A What to do about the end of Moore's law, probably! Proceedings of the 49th Annual Design Automation Conference, (924-929)
  115. ACM
    Venkataramani S, Sabne A, Kozhikkottu V, Roy K and Raghunathan A SALSA Proceedings of the 49th Annual Design Automation Conference, (796-801)
  116. ACM
    Koushanfar F, Sadeghi A and Seudie H EDA for secure and dependable cybercars Proceedings of the 49th Annual Design Automation Conference, (220-228)
  117. ACM
    Inoue K and Kaneko M Optimal register-type selection during resource binding in flip-flop/latch-based high-level synthesis Proceedings of the great lakes symposium on VLSI, (79-82)
  118. Hansen J and Singh M Multi-token resource sharing for pipelined asynchronous systems Proceedings of the Conference on Design, Automation and Test in Europe, (1191-1196)
  119. Graeb H ITRS 2011 analog EDA challenges and approaches Proceedings of the Conference on Design, Automation and Test in Europe, (1150-1155)
  120. Kondratyev A, Lavagno L, Meyer M and Watanabe Y Exploiting area/delay tradeoffs in high-level synthesis Proceedings of the Conference on Design, Automation and Test in Europe, (1024-1029)
  121. Damavandpeyma M, Stuijk S, Basten T, Geilen M and Corporaal H Modeling static-order schedules in synchronous dataflow graphs Proceedings of the Conference on Design, Automation and Test in Europe, (775-780)
  122. Wan L, Dong C and Chen D (2012). A coarse-grained reconfigurable architecture with compilation for high performance, International Journal of Reconfigurable Computing, 2012, (3-3), Online publication date: 1-Jan-2012.
  123. Chakrabarty K, Dispoto G, Bellamy R and Zeng J The role of EDA in digital print automation and infrastructure optimization Proceedings of the International Conference on Computer-Aided Design, (158-161)
  124. ACM
    Pilato C, Ferrandi F and Sciuto D A design methodology to implement memory accesses in high-level synthesis Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (49-58)
  125. ACM
    Minhass W, Pop P and Madsen J System-level modeling and synthesis of flow-based microfluidic biochips Proceedings of the 14th international conference on Compilers, architectures and synthesis for embedded systems, (225-234)
  126. ACM
    Mittal K, Joshi A and Mutyam M (2011). Timing variation-aware scheduling and resource binding in high-level synthesis, ACM Transactions on Design Automation of Electronic Systems, 16:4, (1-19), Online publication date: 1-Oct-2011.
  127. Van Leuken R, Van Leeuwen T and Arriens H High level synthesis of asynchronous circuits from data flow graphs Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation, (317-330)
  128. Michael N, Vinod A, Moy C and Palicot J (2011). Flexibility and Reusability in the Digital Front-End of Cognitive Radio Terminals, Circuits, Systems, and Signal Processing, 30:4, (799-821), Online publication date: 1-Aug-2011.
  129. Mokhov A, Sokolov D, Rykunov M and Yakovlev A Formal modelling and transformations of processor instruction sets Proceedings of the Ninth ACM/IEEE International Conference on Formal Methods and Models for Codesign, (51-60)
  130. ACM
    Stitt G and Vahid F (2011). Thread Warping, ACM Transactions on Design Automation of Electronic Systems, 16:3, (1-21), Online publication date: 1-Jun-2011.
  131. Sengupta A, Sedaghat R and Zeng Z (2011). Multi-objective efficient design space exploration and architectural synthesis of an application specific processor (ASP), Microprocessors & Microsystems, 35:4, (392-404), Online publication date: 1-Jun-2011.
  132. Curreri J, Stitt G and George A (2011). High-level synthesis of in-circuit assertions for verification, debugging, and timing analysis, International Journal of Reconfigurable Computing, 2011, (1-17), Online publication date: 1-Jan-2011.
  133. Choudhury M and Mohanram K Bi-decomposition of large Boolean functions using blocking edge graphs Proceedings of the International Conference on Computer-Aided Design, (586-591)
  134. Liu Y, Yang Y and Hu J Clustering-based simultaneous task and voltage scheduling for NoC systems Proceedings of the International Conference on Computer-Aided Design, (277-283)
  135. Hansen J and Singh M An energy and power-aware approach to high-level synthesis of asynchronous systems Proceedings of the International Conference on Computer-Aided Design, (269-276)
  136. ACM
    Cong J, Liu B, Majumdar R and Zhang Z (2010). Behavior-Level Observability Analysis for Operation Gating in Low-Power Behavioral Synthesis, ACM Transactions on Design Automation of Electronic Systems, 16:1, (1-29), Online publication date: 1-Nov-2010.
  137. Andriamisaina C, Coussy P, Casseau E and Chavet C (2010). High-level synthesis for designing multimode architectures, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29:11, (1736-1749), Online publication date: 1-Nov-2010.
  138. Logofătu D and Dumitrescu D Parallel evolutionary approach of compaction problem using mapreduce Proceedings of the 11th international conference on Parallel problem solving from nature: Part II, (361-370)
  139. Yemliha T, Kandemir M, Ozturk O, Kultursay E and Muralidhara S Code scheduling for optimizing parallelism and data locality Proceedings of the 16th international Euro-Par conference on Parallel processing: Part I, (204-216)
  140. ACM
    Lagadec L and Picard D Smalltalk debug lives in the matrix International Workshop on Smalltalk Technologies, (11-16)
  141. ACM
    Cong J and Minkovich K LUT-based FPGA technology mapping for reliability Proceedings of the 47th Design Automation Conference, (517-522)
  142. ACM
    Cardoso J, Diniz P and Weinhardt M (2010). Compiling for reconfigurable computing, ACM Computing Surveys, 42:4, (1-65), Online publication date: 1-Jun-2010.
  143. ACM
    Palaniswamy A, Goparaju M and Tragoudas S Scalable identification of threshold logic functions Proceedings of the 20th symposium on Great lakes symposium on VLSI, (269-274)
  144. Gilabert F, Gómez M, Medardoni S and Bertozzi D Improved Utilization of NoC Channel Bandwidth by Switch Replication for Cost-Effective Multi-processor Systems-on-Chip Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, (165-172)
  145. Del Barrio A, Molina M, Mendias J, Hermida R and Memik S Using speculative functional units in high level synthesis Proceedings of the Conference on Design, Automation and Test in Europe, (1779-1784)
  146. Aghera P, Krishnaswamy D, Fang D, Coskun A and Rosing T DynAHeal Proceedings of the Conference on Design, Automation and Test in Europe, (1661-1664)
  147. Cong J, Liu B and Xu J Coordinated resource optimization in behavioral synthesis Proceedings of the Conference on Design, Automation and Test in Europe, (1267-1272)
  148. Mehdipour F, Honda H, Kataoka H, Inoue K, Kataeva I, Murakami K, Akaike H and Fujimaki A Mapping scientific applications on a large-scale data-path accelerator implemented by single-flux quantum (SFQ) circuits Proceedings of the Conference on Design, Automation and Test in Europe, (993-996)
  149. ACM
    Zhang J, Zhang Z, Zhou S, Tan M, Liu X, Cheng X and Cong J Bit-level optimization for high-level synthesis and FPGA-based acceleration Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays, (59-68)
  150. ACM
    Cabodi G, Lavagno L, Murciano M, Kondratyev A and Watanabe Y (2010). Speeding-up heuristic allocation, scheduling and binding with SAT-based abstraction/refinement techniques, ACM Transactions on Design Automation of Electronic Systems, 15:2, (1-34), Online publication date: 1-Feb-2010.
  151. Chakrapani L and Palem K A probabilistic Boolean logic for energy efficient circuit and system design Proceedings of the 2010 Asia and South Pacific Design Automation Conference, (628-635)
  152. Huang S, Chang C, Tu W and Pan S Critical-PMOS-aware clock tree design methodology for anti-aging zero skew clock gating Proceedings of the 2010 Asia and South Pacific Design Automation Conference, (480-485)
  153. Gajski D and Vahid F (1995). Specification and Design of Embedded Hardware-Software Systems, IEEE Design & Test, 12:1, (53-67), Online publication date: 1-Jan-2010.
  154. Czerwiński R and Kania D (2009). Synthesis of finite state machines for CPLDs, International Journal of Applied Mathematics and Computer Science, 19:4, (647-659), Online publication date: 1-Dec-2009.
  155. ACM
    Verma A, Brisk P and Ienne P Iterative layering Proceedings of the 2009 International Conference on Computer-Aided Design, (797-804)
  156. ACM
    Cong J, Liu B and Zhang Z Scheduling with soft constraints Proceedings of the 2009 International Conference on Computer-Aided Design, (47-54)
  157. ACM
    Maftei E, Pop P and Madsen J Tabu search-based synthesis of dynamically reconfigurable digital microfluidic biochips Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems, (195-204)
  158. Ciesielski M, Gomez-Prado D, Ren Q, Guillot J and Boutillon E (2009). Optimization of data-flow computations using canonical TED representation, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:9, (1321-1333), Online publication date: 1-Sep-2009.
  159. ACM
    Cong J, Liu B and Zhang Z Behavior-level observability don't-cares and application to low-power behavioral synthesis Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design, (139-144)
  160. ACM
    Shin I, Paik S and Shin Y Register allocation for high-level synthesis using dual supply voltages Proceedings of the 46th Annual Design Automation Conference, (937-942)
  161. ACM
    Choudhury M and Mohanram K Timing-driven optimization using lookahead logic circuits Proceedings of the 46th Annual Design Automation Conference, (390-395)
  162. ACM
    Arbel E, Eisner C and Rokhlenko O Resurrecting infeasible clock-gating functions Proceedings of the 46th Annual Design Automation Conference, (160-165)
  163. Dal D and Mansouri N (2009). Power optimization with power islands synthesis, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:7, (1025-1037), Online publication date: 1-Jul-2009.
  164. ACM
    Cong J, Fan Y and Xu J (2009). Simultaneous resource binding and interconnection optimization based on a distributed register-file microarchitecture, ACM Transactions on Design Automation of Electronic Systems, 14:3, (1-31), Online publication date: 1-May-2009.
  165. Dimitroulakos G, Kostaras N, Galanis M and Goutis C (2009). Compiler assisted architectural exploration framework for coarse grained reconfigurable arrays, The Journal of Supercomputing, 48:2, (115-151), Online publication date: 1-May-2009.
  166. Chatterjee D, DeOrio A and Bertacco V GCS Proceedings of the Conference on Design, Automation and Test in Europe, (1332-1337)
  167. Golshan S and Bozorgzadeh E SEU-aware resource binding for modular redundancy based designs on FPGAs Proceedings of the Conference on Design, Automation and Test in Europe, (1124-1129)
  168. Paik S, Shin I and Shin Y HLS-l Proceedings of the Conference on Design, Automation and Test in Europe, (1112-1117)
  169. Fazlali M, Fallah M, Zolghadr M and Zakerolhosseini A A New Datapath Merging Method for Reconfigurable System Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications, (157-168)
  170. Nielsen S, Sparsø J and Madsen J (2009). Behavioral synthesis of asynchronous circuits using syntax directed translation as backend, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:2, (248-261), Online publication date: 1-Feb-2009.
  171. Soviani C, Hadžic I and Edwards S (2009). Synthesis and optimization of pipelined packet processors, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:2, (231-244), Online publication date: 1-Feb-2009.
  172. Ko H and Nicolici N (2009). Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:2, (285-297), Online publication date: 1-Feb-2009.
  173. Li C and Carloni L (2009). Leveraging local intracore information to increase global performance in block-based design of systems-on-chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:2, (165-178), Online publication date: 1-Feb-2009.
  174. Huang S and Cheng C Timing driven power gating in high-level synthesis Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (173-178)
  175. Lucas G, Cromar S and Chen D FastYield Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (61-66)
  176. Hong Y, Huang Y and Huang J Simultaneous data transfer routing and scheduling for interconnect minimization in multicycle communication architecture Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (19-24)
  177. ACM
    Mehta G, Stander J, Baz M, Hunsaker B and Jones A (2009). Interconnect customization for a hardware fabric, ACM Transactions on Design Automation of Electronic Systems, 14:1, (1-32), Online publication date: 1-Jan-2009.
  178. Stanczyk U On optimisation of the rough set-based decision rule extraction Proceedings of the 8th conference on Applied computer scince, (296-301)
  179. Gaujal B and Mairesse J (2008). Minimization of circuit registers: Retiming revisited, Discrete Applied Mathematics, 156:18, (3498-3505), Online publication date: 1-Nov-2008.
  180. Noori H, Mehdipour F, Murakami K, Inoue K and Saheb Zamani M (2008). An architecture framework for an adaptive extensible processor, The Journal of Supercomputing, 45:3, (313-340), Online publication date: 1-Sep-2008.
  181. Basççiftçi F and Kahramanli S A novel approach for fast covering the Boolean sets Proceedings of the 8th conference on Systems theory and scientific computation, (260-263)
  182. ACM
    Choi E, Shin C, Kim T and Shin Y Power-gating-aware high-level synthesis Proceedings of the 2008 international symposium on Low Power Electronics & Design, (39-44)
  183. Aboalsamh H A Boolean algebraic framework for association and pattern mining Proceedings of the 12th WSEAS international conference on Computers, (940-949)
  184. Jung H, Yang H and Ha S (2008). Optimized RTL Code Generation from Coarse-Grain Dataflow Specification for Fast HW/SW Cosynthesis, Journal of Signal Processing Systems, 52:1, (13-34), Online publication date: 1-Jul-2008.
  185. ACM
    Kirischian V, Geurkov V and Kirischian L A multi-mode video-stream processor with cyclically reconfigurable architecture Proceedings of the 5th conference on Computing frontiers, (105-106)
  186. ACM
    Qiu M and Wu J Energy saving for memory with loop scheduling and prefetching Proceedings of the 18th ACM Great Lakes symposium on VLSI, (155-158)
  187. ACM
    Koes D and Goldstein S Near-optimal instruction selection on dags Proceedings of the 6th annual IEEE/ACM international symposium on Code generation and optimization, (45-54)
  188. Qiu M, Sha E, Liu M, Lin M, Hua S and Yang L (2008). Energy minimization with loop fusion and multi-functional-unit scheduling for multidimensional DSP, Journal of Parallel and Distributed Computing, 68:4, (443-455), Online publication date: 1-Apr-2008.
  189. ACM
    Chantem T, Dick R and Hu X Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs Proceedings of the conference on Design, automation and test in Europe, (288-293)
  190. Ditmar J, McKeever S and Wilson A (2008). Area optimisation for field-programmable gate arrays in SystemC hardware compilation, International Journal of Reconfigurable Computing, 2008, (1-14), Online publication date: 1-Feb-2008.
  191. Abdel-Kader R (2008). Particle swarm optimization for constrained instruction scheduling, VLSI Design, 2008:4, (1-7), Online publication date: 1-Jan-2008.
  192. ACM
    Su F and Chakrabarty K (2008). High-level synthesis of digital microfluidic biochips, ACM Journal on Emerging Technologies in Computing Systems, 3:4, (1-32), Online publication date: 1-Jan-2008.
  193. Barkalov A, Titarenko L and Chmielewski S (2007). Reduction in the Number of PAL Macrocells in the Circuit of a Moore FSM, International Journal of Applied Mathematics and Computer Science, 17:4, (565-575), Online publication date: 1-Dec-2007.
  194. Chavet C, Andriamisaina C, Coussy P, Casseau E, Juin E, Urard P and Martin E A design flow dedicated to multi-mode architectures for DSP applications Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (604-611)
  195. Kuo Y, Chang Y, Chang S and Marek-Sadowska M Engineering change using spare cells with constant insertion Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (544-547)
  196. Kim T and Liu X Compatibility path based binding algorithm for interconnect reduction in high level synthesis Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (435-441)
  197. Jung J and Kim T Timing variation-aware high-level synthesis Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (424-428)
  198. Li C and Carloni L Using functional independence conditions to optimize the performance of latency-insensitive systems Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (32-39)
  199. Mittal G, Zaretsky D, Tang X and Banerjee P (2007). An overview of a compiler for mapping software binaries to hardware, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:11, (1177-1190), Online publication date: 1-Nov-2007.
  200. ACM
    Stitt G and Vahid F Thread warping Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, (93-98)
  201. Chabini N A Heuristic for reducing dynamic power dissipation in clocked sequential designs Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation, (64-74)
  202. ACM
    Gopalakrishnan S and Kalla P (2007). Optimization of polynomial datapaths using finite ring algebra, ACM Transactions on Design Automation of Electronic Systems, 12:4, (49-es), Online publication date: 1-Sep-2007.
  203. ACM
    Galanis M, Dimitroulakos G, Tragoudas S and Goutis C (2008). Speedups in embedded systems with a high-performance coprocessor datapath, ACM Transactions on Design Automation of Electronic Systems, 12:3, (1-22), Online publication date: 17-Aug-2007.
  204. ACM
    Stitt G and Vahid F (2008). Binary synthesis, ACM Transactions on Design Automation of Electronic Systems, 12:3, (1-30), Online publication date: 17-Aug-2007.
  205. F. J, Santos L and Santos L An automatically-retargetable time-constraint-driven instruction scheduler for post-compiling optimization of embedded code Proceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation, (86-95)
  206. ACM
    Stallmann M and Brglez F High-contrast algorithm behavior Proceedings of the 2007 workshop on Experimental computer science, (12-es)
  207. ACM
    Verma A, Brisk P and Ienne P Progressive decomposition Proceedings of the 44th annual Design Automation Conference, (404-409)
  208. ACM
    Dimitroulakos G, Galanis M, Kostaras N and Goutis C A unified evaluation framework for coarse grained reconfigurable array architectures Proceedings of the 4th international conference on Computing frontiers, (161-172)
  209. Garg A, Xenarios I, Mendoza L and DeMicheli G An efficient method for dynamic analysis of gene regulatory networks and in silico gene perturbation experiments Proceedings of the 11th annual international conference on Research in computational molecular biology, (62-76)
  210. Angiolini F, Jamaa M, Atienza D, Benini L and De Micheli G Interactive presentation: Improving the fault tolerance of nanometric PLA designs Proceedings of the conference on Design, automation and test in Europe, (570-575)
  211. Zilic Z, Radecka K and Kazamiphur A Reversible circuit technology mapping from non-reversible specifications Proceedings of the conference on Design, automation and test in Europe, (558-563)
  212. Ciesielski M, Askar S, Gomez-Prado D, Guillot J and Boutillon E Data-flow transformations using Taylor expansion diagrams Proceedings of the conference on Design, automation and test in Europe, (455-460)
  213. Balluchi A, Mazzi E and Vincentelli A Complexity reduction for the design of interacting controllers Proceedings of the 10th international conference on Hybrid systems: computation and control, (46-60)
  214. ACM
    Dal D and Mansouri N A high-level register optimization technique for minimizing leakage and dynamic power Proceedings of the 17th ACM Great Lakes symposium on VLSI, (517-520)
  215. Stewart K and Tragoudas S (2007). Managing the power resources of sensor networks with performance considerations, Computer Communications, 30:5, (1122-1135), Online publication date: 1-Mar-2007.
  216. Su F and Zeng J (2007). Computer-Aided Design and Test for Digital Microfluidics, IEEE Design & Test, 24:1, (60-70), Online publication date: 1-Jan-2007.
  217. ACM
    Cong J, Fan Y and Jiang W Platform-based resource binding using a distributed register-file microarchitecture Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (709-715)
  218. ACM
    Hung W, Wu X and Xie Y Guaranteeing performance yield in high-level synthesis Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (303-309)
  219. ACM
    Zhou Y, Sokolov D and Yakovlev A Cost-aware synthesis of asynchronous circuits based on partial acknowledgement Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (158-163)
  220. Park J and Mooney V (2006). Sleepy stack leakage reduction, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14:11, (1250-1263), Online publication date: 1-Nov-2006.
  221. ACM
    Reimer A, Schulz A and Nebel W Modelling macromodules for high-level dynamic power estimation of FPGA-based digital designs Proceedings of the 2006 international symposium on Low power electronics and design, (151-154)
  222. Galanis M, Dimitroulakos G and Goutis C (2006). Partitioning Methodology for Heterogeneous Reconfigurable Functional Units, The Journal of Supercomputing, 38:1, (17-34), Online publication date: 1-Oct-2006.
  223. Mehdipour F, Noori H, Zamani M, Murakami K, Sedighi M and Inoue K An integrated temporal partitioning and mapping framework for handling custom instructions on a reconfigurable functional unit Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture, (219-230)
  224. Mehdipour F, Noori H, Zamani M, Murakami K, Inoue K and Sedighi M Custom instruction generation using temporal partitioning techniques for a reconfigurable functional unit Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing, (722-731)
  225. ACM
    Soviani C, Hadžić I and Edwards S Synthesis of high-performance packet processing pipelines Proceedings of the 43rd annual Design Automation Conference, (679-682)
  226. ACM
    Verma A and Ienne P Towards the automatic exploration of arithmetic-circuit architectures Proceedings of the 43rd annual Design Automation Conference, (445-450)
  227. Harmanani H and Abas H A method for the minimum coloring problem using genetic algorithms Proceedings of the 17th IASTED international conference on Modelling and simulation, (487-492)
  228. Galanis M, Dimitroulakos G and Goutis C Mapping DSP applications on processor systems with coarse-grain reconfigurable hardware Proceedings of the 20th international conference on Parallel and distributed processing, (198-198)
  229. Dimitroulakos G, Galanis M and Goutis C Exploring the design space of an optimized compiler approach for mesh-like coarse-grained reconfigurable architectures Proceedings of the 20th international conference on Parallel and distributed processing, (113-113)
  230. Mehdipour F, Zamani M, Ahmadifar H, Sedighi M and Murakami K Reducing reconfiguration time of reconfigurable computing systems in integrated temporal partitioning and physical design framework Proceedings of the 20th international conference on Parallel and distributed processing, (308-308)
  231. Logofatu D and Drechsler R Efficient evolutionary approaches for the data ordering problem with inversion Proceedings of the 2006 international conference on Applications of Evolutionary Computing, (320-331)
  232. ACM
    Chen D, Cong J and Xu J (2006). Optimal simultaneous module and multivoltage assignment for low power, ACM Transactions on Design Automation of Electronic Systems, 11:2, (362-386), Online publication date: 1-Apr-2006.
  233. Raghuraman K, Wang H and Tragoudas S Minimizing FPGA Reconfiguration Data at Logic Level Proceedings of the 7th International Symposium on Quality Electronic Design, (219-224)
  234. Cherroun H, Darte A and Feautrier P Scheduling under resource constraints using dis-equations Proceedings of the conference on Design, automation and test in Europe: Proceedings, (1067-1072)
  235. Ruggiero M, Guerri A, Bertozzi D, Poletti F and Milano M Communication-aware allocation and scheduling framework for stream-oriented multi-processor systems-on-chip Proceedings of the conference on Design, automation and test in Europe: Proceedings, (3-8)
  236. Ekpanyapong M, Waterwai T and Lim S Statistical Bellman-Ford algorithm with an application to retiming Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (959-964)
  237. Yoo J, Feng X, Choi K, Chung E and Choi K Worst case execution time analysis for synthesized hardware Proceedings of the 2006 Asia and South Pacific Design Automation Conference, (905-910)
  238. Ho Q, Massicotte D and Dahmane A (2006). FPGA implementation of an MUD based on cascade filters for a WCDMA system, EURASIP Journal on Advances in Signal Processing, 2006, (69-69), Online publication date: 1-Jan-2006.
  239. Han Y, Kim S and Kim C Jaguar Proceedings of the Second international conference on Embedded Software and Systems, (386-397)
  240. Lee D, Abdul Gaffar A, Mencer O and Luk W (2005). Optimizing Hardware Function Evaluation, IEEE Transactions on Computers, 54:12, (1520-1531), Online publication date: 1-Dec-2005.
  241. Ramarao P and Tyagi A An integrated partitioning and scheduling based branch decoupling Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture, (252-268)
  242. Witte E, Chattopadhyay A, Schliebusch O and Kammler D Applying Resource Sharing Algorithms to ADL-driven Automatic ASIP Implementation Proceedings of the 2005 International Conference on Computer Design, (193-199)
  243. Yoon S, Nardini C, Benini L and De Micheli G (2005). Discovering Coherent Biclusters from Gene Expression Data Using Zero-Suppressed Binary Decision Diagrams, IEEE/ACM Transactions on Computational Biology and Bioinformatics, 2:4, (339-354), Online publication date: 1-Oct-2005.
  244. ACM
    Ghodrat M, Givargis T and Nicolau A Equivalence checking of arithmetic expressions using fast evaluation Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems, (147-156)
  245. ACM
    Pozzi L and Ienne P Exploiting pipelining to relax register-file port constraints of instruction-set extensions Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems, (2-10)
  246. ACM
    Vuletić M, Dubach C, Pozzi L and Ienne P Enabling unrestricted automated synthesis of portable hardware accelerators for virtual machines Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (243-248)
  247. ACM
    McGee P, Nowick S and Coffman E Efficient performance analysis of asynchronous systems based on periodicity Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (225-230)
  248. ACM
    Quan G, Davis J, Devarkal S and Buell D High-level synthesis for large bit-width multipliers on FPGAs Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (213-218)
  249. Stewart K and Tragoudas S An ILP based management protocol for wireless networks Proceedings of the 9th WSEAS International Conference on Communications, (1-6)
  250. ACM
    Lee J and Mooney V (2005). An o(min(m, n)) parallel deadlock detection algorithm, ACM Transactions on Design Automation of Electronic Systems, 10:3, (573-586), Online publication date: 1-Jul-2005.
  251. ACM
    Koushanfar F, Hong I and Potkonjak M (2005). Behavioral synthesis techniques for intellectual property protection, ACM Transactions on Design Automation of Electronic Systems, 10:3, (523-545), Online publication date: 1-Jul-2005.
  252. ACM
    Su F and Chakrabarty K Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips Proceedings of the 42nd annual Design Automation Conference, (825-830)
  253. ACM
    Li X, Stallmann M and Brglez F Effective bounding techniques for solving unate and binate covering problems Proceedings of the 42nd annual Design Automation Conference, (385-390)
  254. ACM
    Abdollahi A and Pedram M A new canonical form for fast boolean matching in logic synthesis and verification Proceedings of the 42nd annual Design Automation Conference, (379-384)
  255. ACM
    Meng Y, Brown A, Iltis R, Sherwood T, Lee H and Kastner R MP core Proceedings of the 42nd annual Design Automation Conference, (297-302)
  256. Alsharqawi A and Ejnioui A Synthesis of Self-Resetting Stage Logic Pipelines Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design, (260-262)
  257. ACM
    Zhou K and McDonald J Multi-GHz SiGe design methodologies for reconfigurable computing Proceedings of the 15th ACM Great Lakes symposium on VLSI, (207-212)
  258. ACM
    Wang G, Gong W and Kastner R Instruction scheduling using MAX-MIN ant system optimization Proceedings of the 15th ACM Great Lakes symposium on VLSI, (44-49)
  259. Dimitroulakos G, Galanis M and Goutis C A Compiler Method for Memory-Conscious Mapping of Applications on Coarse-Grained Reconfigurable Architectures Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 3 - Volume 04
  260. Galanis M, Milidonis A, Theodoridis G, Soudris D and Goutis C A Framework for Partitioning Computational Intensive Applications in Hybrid Reconfigurable Platforms Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 3 - Volume 04
  261. Tosun S, Ozturk O, Mansouri N, Arvas E, Kandemir M, Xie Y and Hung W An ILP Formulation for Reliability-Oriented High-Level Synthesis Proceedings of the 6th International Symposium on Quality of Electronic Design, (364-369)
  262. Tosun S, Mansouri N, Arvas E, Kandemir M, Xie Y and Hung W Reliability-Centric Hardware/Software Co-Design Proceedings of the 6th International Symposium on Quality of Electronic Design, (375-380)
  263. Galanis M, Milidonis A, Theodoridis G, Soudris D and Goutis C A Partitioning Methodology for Accelerating Applications in Hybrid Reconfigurable Platforms Proceedings of the conference on Design, Automation and Test in Europe - Volume 3, (247-252)
  264. Galanis M, Milidonis A, Theodoridis G, Soudris D and Goutis C (2005). A method for partitioning applications in hybrid reconfigurable architectures, Design Automation for Embedded Systems, 10:1, (27-47), Online publication date: 1-Mar-2005.
  265. ACM
    Cong J, Fan Y, Han G, Jagannathan A, Reinman G and Zhang Z Instruction set extension with shadow registers for configurable processors Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays, (99-106)
  266. ACM
    Mittal G, Zaretsky D, Memik G and Banerjee P Automatic extraction of function bodies from software binaries Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (928-931)
  267. ACM
    Cong J, Fan Y, Han G, Lin Y, Xu J, Zhang Z and Cheng X Bitwidth-aware scheduling and binding in high-level synthesis Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (856-861)
  268. ACM
    Chen D, Cong J and Xu J Optimal module and voltage assignment for low-power Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (850-855)
  269. ACM
    Beidas R and Zhu J Scalable interprocedural register allocation for high level synthesis Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (511-516)
  270. Ren X, Wan J and Hu G A novel task scheduling for heterogeneous systems Proceedings of the First international conference on Embedded Software and Systems, (400-405)
  271. Meribout M and Motomura M (2004). A Combined Approach to High-Level Synthesis for Dynamically Reconfigurable Systems, IEEE Transactions on Computers, 53:12, (1508-1522), Online publication date: 1-Dec-2004.
  272. Verma A and Ienne P Improved use of the carry-save representation for the synthesis of complex arithmetic circuits Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (791-798)
  273. Su F and Chakrabarty K Architectural-level synthesis of digital microfluidics-based biochips Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (223-228)
  274. Bjesse P and Boralv A DAG-aware circuit compression for formal verification Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (42-49)
  275. ACM
    Harkin J, McGinnity T and Maguire L (2004). Modeling and optimizing run-time reconfiguration using evolutionary computation, ACM Transactions on Embedded Computing Systems, 3:4, (661-685), Online publication date: 1-Nov-2004.
  276. Styles H and Luk W (2004). Exploiting Program Branch Probabilities in Hardware Compilation, IEEE Transactions on Computers, 53:11, (1408-1419), Online publication date: 1-Nov-2004.
  277. ACM
    Kandemir M, Kadayif I and Chen G Compiler-directed code restructuring for reducing data TLB energy Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (98-103)
  278. Chen G, Kang B, Kandemir M, Vijaykrishnan N, Irwin M and Chandramouli R (2004). Studying Energy Trade Offs in Offloading Computation/Compilation in Java-Enabled Mobile Devices, IEEE Transactions on Parallel and Distributed Systems, 15:9, (795-809), Online publication date: 1-Sep-2004.
  279. Bhattacharyya S and Murthy P (2004). The CBP Parameter, Journal of VLSI Signal Processing Systems, 38:2, (131-146), Online publication date: 1-Sep-2004.
  280. ACM
    Jeong C and Nowick S Fast hazard detection in combinational circuits Proceedings of the 41st annual Design Automation Conference, (592-595)
  281. ACM
    Bañeres D, Cortadella J and Kishinevsky M A recursive paradigm to solve Boolean relations Proceedings of the 41st annual Design Automation Conference, (416-421)
  282. ACM
    Mittal G, Zaretsky D, Tang X and Banerjee P Automatic translation of software binaries onto FPGAs Proceedings of the 41st annual Design Automation Conference, (389-394)
  283. Wang W, Raghunathan A, Lakshminarayana G and Jha N (2004). Input space adaptive design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12:6, (590-602), Online publication date: 1-Jun-2004.
  284. ACM
    Zaretsky D, Mittal G, Tang X and Banerjee P Evaluation of scheduling and allocation algorithms while mapping assembly code onto FPGAs Proceedings of the 14th ACM Great Lakes symposium on VLSI, (397-400)
  285. ACM
    Udrescu M, Prodan L and Vlǎdutiu M Using HDLs for describing quantum circuits Proceedings of the 1st conference on Computing frontiers, (96-110)
  286. De La Luz V, Kadayif I, Kandemir M and Sezer U (2004). Access Pattern Restructuring for Memory Energy, IEEE Transactions on Parallel and Distributed Systems, 15:4, (289-303), Online publication date: 1-Apr-2004.
  287. Manquinho V and Marques-Silva J (2004). Satisfiability-Based Algorithms for Boolean Optimization, Annals of Mathematics and Artificial Intelligence, 40:3-4, (353-372), Online publication date: 1-Mar-2004.
  288. ACM
    Cong J, Fan Y, Han G and Zhang Z Application-specific instruction generation for configurable processor architectures Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, (183-189)
  289. Liu Y, Wang K and Hwang T Crosstalk Minimization in Logic Synthesis for PLA Proceedings of the conference on Design, automation and test in Europe - Volume 2
  290. Bansal N, Gupta S, Dutt N, Nicolau A and Gupta R Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures Proceedings of the conference on Design, automation and test in Europe - Volume 1
  291. Debnath D and Sasao T Efficient computation of canonical form for Boolean matching in large libraries Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (591-596)
  292. Najaf-Abadi H A procedure for obtaining a behavioral description for the control logic of a non-linear pipeline Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (86-91)
  293. Chen D and Cong J Register binding and port assignment for multiplexer optimization Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (68-73)
  294. Sharad S and Shukla S Optimizing system models for simulation efficiency Formal methods and models for system design, (317-330)
  295. Chatterjee M and Pradhan D (2003). A BIST Pattern Generator Design for Near-Perfect Fault Coverage, IEEE Transactions on Computers, 52:12, (1543-1558), Online publication date: 1-Dec-2003.
  296. Di Blas A, Jagota A and Hughey R (2003). A Range-Compaction Heuristic for Graph Coloring, Journal of Heuristics, 9:6, (489-506), Online publication date: 1-Dec-2003.
  297. Cong J, Fan Y, Han G, Yang X and Zhang Z Architectural Synthesis Integrated with Global Placement for Multi-Cycle Communication Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  298. Zhang Z, Fan Y, Potkonjak M and Cong J Gradual Relaxation Techniques with Applications to Behavioral Synthesis Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  299. Wang Q and Roy S RTL Power Optimization with Gate-Level Accuracy Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
  300. Plessl C and Platzner M (2003). Instance-Specific Accelerators for Minimum Covering, The Journal of Supercomputing, 26:2, (109-129), Online publication date: 1-Sep-2003.
  301. ACM
    Fomina E, Keevallik A, Kruus M and Sudnitson A A decomposition procedure for register-transfer level power management Proceedings of the 4th international conference conference on Computer systems and technologies: e-Learning, (26-31)
  302. Chantrapornchai C Rapid prototyping methodology and environments for fuzzy applications Proceedings of the 2003 international conference on Computational science, (940-949)
  303. Liang X and Jean J (2003). Mapping of generalized template matching onto reconfigurable computers, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 11:3, (485-498), Online publication date: 1-Jun-2003.
  304. Lange S and Kebschull U Virtual Hardware Byte Code as a Design Platform for Reconfigurable Embedded Systems Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  305. Kim E, Saito H, Lee J, Lee D, Nakamura H and Nanya T Distributed Synchronous Control Units for Dataflow Graphs under Allocation of Telescopic Arithmetic Units Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  306. Chiou L, Bhunia S and Roy K Synthesis of Application-Specific Highly-Efficient Multi-Mode Systems for Low-Power Applications Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  307. ACM
    Leijten-Nowak K and van Meerbergen J An FPGA architecture with enhanced datapath functionality Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays, (195-204)
  308. ACM
    Kim E, Lee D, Saito H, Nakamura H, Lee J and Nanya T Performance optimization of synchronous control units for datapaths with variable delay arithmetic units Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (816-819)
  309. ACM
    Banerjee P An overview of a compiler for mapping MATLAB programs onto FPGAs Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (477-482)
  310. Cho G and Chen T On Single/Dual-Rail Mixed PTL/Static Circuits in Floating-Body SOI and Bulk CMOS Proceedings of the 16th International Conference on VLSI Design
  311. Gupta S, Dutt N, Gupta R and Nicolau A SPARK Proceedings of the 16th International Conference on VLSI Design
  312. Wang W, Jha N, Raghunathan A and Dey S High-level Synthesis of Multi-process Behavioral Descriptions Proceedings of the 16th International Conference on VLSI Design
  313. McCluskey E Switching theory Encyclopedia of Computer Science, (1727-1731)
  314. Byun S, Lee S, Tewfik A and Ahn B A SVD-based fragile watermarking scheme for image authentication Proceedings of the 1st international conference on Digital watermarking, (170-178)
  315. ACM
    Sun F, Ravi S, Raghunathan A and Jha N Synthesis of custom processors based on extensible platforms Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (641-648)
  316. ACM
    Huang C, Ravi S, Raghunathan A and Jha N High-level synthesis of distributed logic-memory architectures Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (564-571)
  317. Chowdhary A and Gupta R (2002). A Methodology for Synthesis of Data Path Circuitse, IEEE Design & Test, 19:6, (90-100), Online publication date: 1-Nov-2002.
  318. ACM
    Wong J, Megerian S and Potkonjak M Forward-looking objective functions Proceedings of the 39th annual Design Automation Conference, (904-909)
  319. ACM
    Kölbi A, Kukula J, Antreich K and Damiano R Handling special constructs in symbolic simulation Proceedings of the 39th annual Design Automation Conference, (105-110)
  320. ACM
    Drini M and Kirovski D Behavioral synthesis via engineering change Proceedings of the 39th annual Design Automation Conference, (18-21)
  321. ACM
    Bjuréus P, Millberg M and Jantsch A FPGA resource and timing estimation from Matlab execution traces Proceedings of the tenth international symposium on Hardware/software codesign, (31-36)
  322. ACM
    O'Neil T and Sha E Minimizing resources in a repeating schedule for a split-node data-flow graph Proceedings of the 12th ACM Great Lakes symposium on VLSI, (136-141)
  323. ACM
    Zhao M and Sapatnekar S (2002). Technology mapping algorithms for domino logic, ACM Transactions on Design Automation of Electronic Systems, 7:2, (306-335), Online publication date: 1-Apr-2002.
  324. Kirshnaswamy V, Hasteer G and Banerjee P (2002). Automatic Parallelization of Compiled Event Driven VHDL Simulation, IEEE Transactions on Computers, 51:4, (380-394), Online publication date: 1-Apr-2002.
  325. Ferrandi F, Fummi F and Sciuto D (2002). Test Generation and Testability Alternatives Exploration of Critical Algorithms for Embedded Applications, IEEE Transactions on Computers, 51:2, (200-215), Online publication date: 1-Feb-2002.
  326. Ramanujam J, Deshpande S, Hong J and Kandemir M A Heuristic for Clock Selection in High-Level Synthesis Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  327. Haubelt C, Teich J, Richter K and Ernst R Flexibility/cost-tradeoffs of platform-based systems Embedded processor design challenges, (38-56)
  328. Graeb H, Zizala S, Eckmueller J and Antreich K The sizing rules method for analog integrated circuit design Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (343-349)
  329. Kim D, Jung J, Lee S, Jeon J and Choi K Behavior-to-placed RTL synthesis with performance-driven placement Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (320-325)
  330. Haldar M, Nayak A, Choudhary A and Banerjee P A system for synthesizing optimized FPGA hardware from MATLAB Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (314-319)
  331. Peymandoust A and De Micheli G Symbolic algebra and timing driven data-flow synthesis Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (300-305)
  332. Kandemir M, Sezer U and Delaluz V Improving memory energy using access pattern classification Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (201-206)
  333. Benini L and De Micheli G Logic synthesis for low power Logic Synthesis and Verification, (197-223)
  334. Narasimhan N, Teica E, Radhakrishnan R, Govindarajan S and Vemuri R (2001). Theorem Proving Guided Development of Formal Assertions in a Resource-Constrained Scheduler for High-Level Synthesis, Formal Methods in System Design, 19:3, (237-273), Online publication date: 1-Oct-2001.
  335. ACM
    Kim D, Shin D and Choi K Low power pipelining of linear systems Proceedings of the 2001 international symposium on Low power electronics and design, (225-230)
  336. ACM
    Wang W, Raghunathan A, Lakshminarayana G and Jha N Input space adaptive design Proceedings of the 38th annual Design Automation Conference, (738-743)
  337. ACM
    Bruni D, Bogliolo A and Benini L Statistical design space exploration for application-specific unit synthesis Proceedings of the 38th annual Design Automation Conference, (641-646)
  338. ACM
    Doboli A and Vemuri R Integrated high-level synthesis and power-net routing for digital design under switching noise constraints Proceedings of the 38th annual Design Automation Conference, (629-634)
  339. ACM
    Zhao M and Sapatnekar S A new structural pattern matching algorithm for technology mapping Proceedings of the 38th annual Design Automation Conference, (371-376)
  340. ACM
    Peymandoust A and De Micheli G Using symbolic algebra in algorithmic level DSP synthesis Proceedings of the 38th annual Design Automation Conference, (277-282)
  341. ACM
    Theobald M and Nowick S Transformations for the synthesis and optimization of asynchronous distributed control Proceedings of the 38th annual Design Automation Conference, (263-268)
  342. Jacome M and de Veciana G Lower bound on latency for VLIW ASIP datapaths Readings in hardware/software co-design, (477-484)
  343. Kalavade A and Lee E The extended partitioning problem Readings in hardware/software co-design, (293-312)
  344. Mathur A, Dasdan A and Gupta R Rate analysis for embedded systems Readings in hardware/software co-design, (207-221)
  345. Edwards S, Lavagno L, Lee E and Sangiovanni-Vincentelli A Design of embedded systems Readings in hardware/software co-design, (86-107)
  346. De Micheli G and Gupta R Hardware/software co-design Readings in hardware/software co-design, (30-44)
  347. Antola A, Ferrandi F, Piuri V and Sami M (2001). Semiconcurrent Error Detection in Data Paths, IEEE Transactions on Computers, 50:5, (449-465), Online publication date: 1-May-2001.
  348. ACM
    Shiu P, Tan Y and Mooney V A novel parallel deadlock detection algorithm and architecture Proceedings of the ninth international symposium on Hardware/software codesign, (73-78)
  349. ACM
    Arnold M and Corporaal H Designing domain-specific processors Proceedings of the ninth international symposium on Hardware/software codesign, (61-66)
  350. Constantinides G, Cheung P and Luk W Heuristic datapath allocation for multiple wordlength systems Proceedings of the conference on Design, automation and test in Europe, (791-797)
  351. Zhu J Static memory allocation by pointer analysis and coloring Proceedings of the conference on Design, automation and test in Europe, (785-790)
  352. Ouaiss I and Vemuri R Hierarchical memory mapping during synthesis in FPGA-based reconfigurable computers Proceedings of the conference on Design, automation and test in Europe, (650-657)
  353. Doboli A Integrated hardware-software co-synthesis for design of embedded systems under power and latency constraints Proceedings of the conference on Design, automation and test in Europe, (612-619)
  354. Macchiarulo L, Benini L and Macii E On-the-fly layout generation for PTL macrocells Proceedings of the conference on Design, automation and test in Europe, (546-551)
  355. Chiusano S, di Carlo S, Prinetto P and Wunderlich H On applying the set covering model to reseeding Proceedings of the conference on Design, automation and test in Europe, (156-161)
  356. Economakos G, Oikonomakos P, Panagopoulos I, Poulakis I and Papakonstantinou G Behavioral synthesis with systemC Proceedings of the conference on Design, automation and test in Europe, (21-25)
  357. ACM
    Balasa F, Geurts W, Catthoor F and De Man H Solving large scale assignment problems in high-level synthesis by approximative quadratic programming Proceedings of the 11th Great Lakes symposium on VLSI, (19-24)
  358. ACM
    Chen D, Cong J, Ercegovac M and Huang Z Performance-driven mapping for CPLD architectures Proceedings of the 2001 ACM/SIGDA ninth international symposium on Field programmable gate arrays, (39-47)
  359. ACM
    Haldar M, Nayak A, Choudhary A and Banerjee P Automated synthesis of pipelined designs on FPGAs for signal and image processing applications described in MATLAB Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (645-648)
  360. Vemuri R, Govindarajan S, Ouaiss I, Kaul M, Srinivasan V, Radhakrishnan S, Sundaraman S, Ganesan S, Pandey A and Lakshmikanthan P Automated design synthesis and partitioning for adaptive reconfigurable hardware Hardware implementation of intelligent systems, (3-52)
  361. Jacome M, de Veciana G and Lapinskii V Exploring performance tradeoffs for clustered VLIW ASIPs Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (504-510)
  362. Karri R, Kim K and Potkonjak M (2000). Computer Aided Design of Fault-Tolerant Application Specific Programmable Processors, IEEE Transactions on Computers, 49:11, (1272-1284), Online publication date: 1-Nov-2000.
  363. Mitra S and McCluskey E COMBINATIONAL LOGIC SYNTHESIS FOR DIVERSITY IN DUPLEX SYSTEMS Proceedings of the 2000 IEEE International Test Conference
  364. Psarakis M, Gizopoulos D, Paschalis A and Zorian Y (2000). Sequential Fault Modeling and Test Pattern Generation for CMOS Iterative Logic Arrays, IEEE Transactions on Computers, 49:10, (1083-1099), Online publication date: 1-Oct-2000.
  365. Quer S, Cabodi G, Camurati P, Lavagno L, Sentovich E and Brayton R (2000). Verification of Similar FSMs by Mixing Incremental Re-encoding, Reachability Analysis, and Combinational Checks, Formal Methods in System Design, 17:2, (107-134), Online publication date: 1-Oct-2000.
  366. Kruse L, Schmidt E, Jochens G, Stammermann A and Nebel W Lower bound estimation for low power high-level synthesis Proceedings of the 13th international symposium on System synthesis, (180-185)
  367. Symbolic Binding for Clustered VLIW ASIPs Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
  368. Efficient Place and Route for Pipeline Reconfigurable Architectures Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
  369. ACM
    Nemani M and Tiwari V Macro-driven circuit design methodology for high-performance datapaths Proceedings of the 37th Annual Design Automation Conference, (661-666)
  370. ACM
    Anand R, Jacome M and de Veciana G Heuristic tradeoffs between latency and energy consumption in register assignment Proceedings of the eighth international workshop on Hardware/software codesign, (115-119)
  371. ACM
    Cong J and Sarrafzadeh M Incremental physical design Proceedings of the 2000 international symposium on Physical design, (84-92)
  372. ACM
    Cong J and Hwang Y (2000). Structural gate decomposition for depth-optimal technology mapping in LUT-based FPGA designs, ACM Transactions on Design Automation of Electronic Systems, 5:2, (193-225), Online publication date: 1-Apr-2000.
  373. ACM
    Benini L and Micheli G (2000). System-level power optimization, ACM Transactions on Design Automation of Electronic Systems, 5:2, (115-192), Online publication date: 1-Apr-2000.
  374. Heinrich-Litan L and Molitor P (2000). Least Upper Bounds for the Size of OBDDs Using Symmetry Properties, IEEE Transactions on Computers, 49:4, (360-368), Online publication date: 1-Apr-2000.
  375. Fummi F and Sciuto D (2000). A Hierarchical Test Generation Approach for Large Controllers, IEEE Transactions on Computers, 49:4, (289-302), Online publication date: 1-Apr-2000.
  376. Farrahi A, Hathaway D, Wang M and Sarrafzadeh M Quality of EDA CAD Tools Proceedings of the 1st International Symposium on Quality of Electronic Design
  377. ACM
    Chung K, Kim T and Liu C Behavioral-level partitioning for low power design in control-dominated application Proceedings of the 10th Great Lakes symposium on VLSI, (156-161)
  378. ACM
    Chantrapornchai C, Sha E and Hu X Efficient algorithms for acceptable design exploration Proceedings of the 10th Great Lakes symposium on VLSI, (139-142)
  379. ACM
    Manquinho V and Marques-Silva J On using satisfiability-based pruning techniques in covering algorithms Proceedings of the conference on Design, automation and test in Europe, (356-363)
  380. ACM
    Ganesan S and Vemuri R Technology mapping and retargeting for field-programmable analog arrays Proceedings of the conference on Design, automation and test in Europe, (58-65)
  381. Mansouri N and Vemuri R (2000). Automated Correctness Condition Generation for Formal Verification ofSynthesized RTL Designs, Formal Methods in System Design, 16:1, (59-91), Online publication date: 1-Jan-2000.
  382. ACM
    Wilsey P Web-based analysis and distributed IP Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 2, (1445-1453)
  383. Fummi F, Sciuto D and Serra M (1999). Synthesis for Testability of Highly Complex Controllers by Functional Redundancy Removal, IEEE Transactions on Computers, 48:12, (1305-1323), Online publication date: 1-Dec-1999.
  384. Kirovski D and Potkonjak M Localized watermarking Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (596-599)
  385. Jacome M and de Veciana G Lower bound on latency for VLIW ASIP datapaths Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (261-269)
  386. Ruan S, Shang R, Lai F, Chen S and Huang X A bipartition-codec architecture to reduce power in pipelined circuits Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (84-90)
  387. Hong I, Potkonjak M and Papaefthymiou M (1999). Efficient Block Scheduling to Minimize Context Switching Time for Programmable Embedded Processors, Design Automation for Embedded Systems, 4:4, (311-327), Online publication date: 1-Oct-1999.
  388. Ewing R (1999). Technology Road Map to Methodologies for Mixed-Signal System Designand Simulation, Journal of VLSI Signal Processing Systems, 22:2, (123-134), Online publication date: 1-Sep-1999.
  389. Ewing R (1999). Technology Road Map to Methodologies for Mixed-Signal System Design and Simulation, Journal of VLSI Signal Processing Systems, 22:2, (123-134), Online publication date: 1-Sep-1999.
  390. Ewing R (1999). Technology Road Map to Methodologies for Mixed-Signal System Design& Simulation, Analog Integrated Circuits and Signal Processing, 20:3, (213-225), Online publication date: 1-Sep-1999.
  391. ACM
    Bogliolo A, Benini L, Riccó B and De Micheli G Efficient switching activity computation during high-level synthesis of control-dominated designs Proceedings of the 1999 international symposium on Low power electronics and design, (127-132)
  392. ACM
    Kruse L, Schmidt E, Jochens G and Nebel W Lower and upper bounds on the switching activity in scheduled data flow graphs Proceedings of the 1999 international symposium on Low power electronics and design, (115-120)
  393. Falkowski B (1999). A Note on the Polynomial Form of Boolean Functions and Related Topics, IEEE Transactions on Computers, 48:8, (860-864), Online publication date: 1-Aug-1999.
  394. Nourani M and Papachristou C (1999). Structural Fault Testing of Embedded Cores Using Pipelining, Journal of Electronic Testing: Theory and Applications, 15:1-2, (129-144), Online publication date: 1-Aug-1999.
  395. ACM
    Hong I and Potkonjak M Behavioral synthesis techniques for intellectual property protection Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (849-854)
  396. ACM
    Kim H, Ha D and Takahashi T On ILP formulations for built-in self-testable data path synthesis Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (742-747)
  397. ACM
    Kirovski D and Potkonjak M Engineering change Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (604-609)
  398. ACM
    Lakshminarayana G, Raghunathan A, Khouri K, Jha N and Dey S Common-case computation Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (56-61)
  399. Chang D and Marek-Sadowska M (1999). Partitioning Sequential Circuits on Dynamically Reconfigurable FPGAs, IEEE Transactions on Computers, 48:6, (565-578), Online publication date: 1-Jun-1999.
  400. Cardoso J and Neto H Macro-Based Hardware Compilation of Java(tm) Bytecodes into a Dynamic Reconfigurable Computing System Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
  401. Taubin A, Kondratyev A, Cortadella J and Lavagno L Behavioral Transformations to Increase Noise Immunity in Asynchronous Specifications Proceedings of the 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems
  402. Beister J, Eckstein G and Wollowski R From STG to Extended-Burst-Mode Machines Proceedings of the 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems
  403. ACM
    Rhodes D and Wolf W Overhead effects in real-time preemptive schedules Proceedings of the seventh international workshop on Hardware/software codesign, (193-197)
  404. ACM
    Jacome M, de Veciana G and Akturan C Resource constrained dataflow retiming heuristics for VLIW ASIPs Proceedings of the seventh international workshop on Hardware/software codesign, (12-16)
  405. ACM
    Kalla P and Ciesielski M Performance driven resynthesis by exploiting retiming-induced state register equivalence Proceedings of the conference on Design, automation and test in Europe, (125-es)
  406. ACM
    dos Santos L and Jess J Exploiting state equivalence on the fly while applying code motion and speculation Proceedings of the conference on Design, automation and test in Europe, (120-es)
  407. ACM
    Kaul M and Vemuri R Temporal partitioning combined with design space exploration for latency minimization of run-time reconfigured designs Proceedings of the conference on Design, automation and test in Europe, (43-es)
  408. Hassoun S Fine grain incremental rescheduling via architectural retiming Proceedings of the 11th international symposium on System synthesis, (158-163)
  409. Bolchini C, Fornaciari W, Salice F and Sciuto D Concurrent error detection at architectural level Proceedings of the 11th international symposium on System synthesis, (72-75)
  410. ACM
    Pena J and Oliveira A A new algorithm for the reduction of incompletely specified finite state machines Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (482-489)
  411. ACM
    Séméria L and De Micheli G SpC: synthesis of pointers in C Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (340-346)
  412. ACM
    Chowdhary A, Kale S, Saripella P, Sehgal N and Gupta R A general approach for regularity extraction in datapath circuits Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (332-339)
  413. ACM
    Iyer B and Ciesielski M Reencoding for cycle-time minimization under fixed encoding length Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (312-315)
  414. ACM
    Kirovski D, Hwang Y, Potkonjak M and Cong J Intellectual property protection by watermarking combinational logic synthesis solutions Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (194-198)
  415. Bolchini C, Salice F and Sciuto D (1998). Fault Analysis for Networks with Concurrent Error Detection, IEEE Design & Test, 15:4, (66-74), Online publication date: 1-Oct-1998.
  416. Parulkar I, Gupta S and Breuer M (1998). Allocation Techniques for Reducing BIST Area Overhead ofData Paths, Journal of Electronic Testing: Theory and Applications, 13:2, (149-166), Online publication date: 1-Oct-1998.
  417. ACM
    Krishnaswamy V and Banerjee P Parallel compiled event driven VHDL simulation Proceedings of the 12th international conference on Supercomputing, (297-304)
  418. ACM
    Benini L, De Micheli G, Lioy A, Macii E, Odasso G and Poncino M Computational kernels and their application to sequential power optimization Proceedings of the 35th annual Design Automation Conference, (764-769)
  419. ACM
    Anderson J and Brown S Technology mapping for large complex PLDs Proceedings of the 35th annual Design Automation Conference, (698-703)
  420. ACM
    Bauer J, Bershteyn M, Kaplan I and Vyedin P A reconfigurable logic machine for fast event-driven simulation Proceedings of the 35th annual Design Automation Conference, (668-671)
  421. ACM
    Nemani M and Najm F Delay estimation VLSI circuits from a high-level view Proceedings of the 35th annual Design Automation Conference, (591-594)
  422. ACM
    Chang S and Cheng D Efficient Boolean division and substitution Proceedings of the 35th annual Design Automation Conference, (342-347)
  423. ACM
    Kravets V and Sakallah K M32 Proceedings of the 35th annual Design Automation Conference, (336-341)
  424. ACM
    Chang D and Marek-Sadowska M Partitioning sequential circuits on dynamically reconfiguable FPGAs Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays, (161-167)
  425. Coudert O A new paradigm for dichotomy-based constrained encoding Proceedings of the conference on Design, automation and test in Europe, (830-834)
  426. Li J and Gupta R An algorithm to determine mutually exclusive operations in behavioral descriptions Proceedings of the conference on Design, automation and test in Europe, (457-465)
  427. Hansen C, Kunzmann A and Rosenstiel W Verification by simulation comparison using interface synthesi Proceedings of the conference on Design, automation and test in Europe, (436-445)
  428. Parulkar I, Gupta S and Breuer M Scheduling and module assignment for reducing BIST resources Proceedings of the conference on Design, automation and test in Europe, (66-73)
  429. Srinivasan V, Radhakrishnan S and Vemuri R Hardware/software partitioning with integrated hardware design space exploration Proceedings of the conference on Design, automation and test in Europe, (28-35)
  430. Kagaris D and Tragoudas S Maximum independent sets on transitive graphs and their applications in testing and CAD Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (736-740)
  431. Fuhrer R and Nowick S OPTIMIST Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (308-315)
  432. Chen C and Küçükçakar K High-level scheduling model and control synthesis for a broad range of design applications Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (236-243)
  433. Cortadella J, Kishinevsky M, Kondratyev A, Lavagno L, Pastor E and Yakovlev A Decomposition and technology mapping of speed-independent circuits using Boolean relations Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (220-227)
  434. Nemani M and Najm F High-level area and power estimation for VLSI circuits Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (114-119)
  435. Li J and Gupta R Decomposition of timed decision tables and its use in presynthesis optimizations Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (22-27)
  436. Vuillod P, Benini L and De Micheli G Generalized matching from theory to application Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (13-20)
  437. Mitra S, Avra L and McCluskey E SCAN SYNTHESIS FOR ONE-HOT SIGNALS Proceedings of the 1997 IEEE International Test Conference
  438. ACM
    Vuillod P, Benini L and De Micheli G Re-mapping for low power under tight timing constraints Proceedings of the 1997 international symposium on Low power electronics and design, (287-292)
  439. ACM
    Shin D and Choi K Low power high level synthesis by increasing data correlation Proceedings of the 1997 international symposium on Low power electronics and design, (62-67)
  440. Dawid H, Koch K and Stahl J ADPCM codec Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
  441. Peixoto H and Jacome M Algorithm and architecture-level design space exploration using hierarchical data flows Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
  442. ACM
    Hsieh C, Pedram M, Mehta G and Rastgar F Profile-driven program synthesis for evaluation of system power dissipation Proceedings of the 34th annual Design Automation Conference, (576-581)
  443. ACM
    Kim K, Karri R and Potkonjak M Synthesis of application specific programmable processors Proceedings of the 34th annual Design Automation Conference, (353-358)
  444. ACM
    Willems M, Bürsgens V, Keding H, Grötker T and Meyr H System level fixed-point design based on an interpolative approach Proceedings of the 34th annual Design Automation Conference, (293-298)
  445. ACM
    Coudert O Exact coloring of real-life graphs is easy Proceedings of the 34th annual Design Automation Conference, (121-126)
  446. ACM
    Liu T, Sajid K, Aziz A and Singhal V Optimizing designs containing black boxes Proceedings of the 34th annual Design Automation Conference, (113-116)
  447. ACM
    Maheshwari N and Sapatnekar S An improved algorithm for minimum-area retiming Proceedings of the 34th annual Design Automation Conference, (2-7)
  448. Buonanno G, Pugassi M and Sami M A high-level synthesis approach to design of fault-tolerant systems Proceedings of the 15th IEEE VLSI Test Symposium
  449. ACM
    Keutzer K, Newton A and Shenoy N The future of logic synthesis and physical design in deep-submicron process geometries Proceedings of the 1997 international symposium on Physical design, (218-224)
  450. ACM
    Hu T Physical design Proceedings of the 1997 international symposium on Physical design, (207-210)
  451. Bjorn-Jorgensen P and Madsen J Critical path driven cosynthesis for heterogeneous target architectures Proceedings of the 5th International Workshop on Hardware/Software Co-Design
  452. Teich J, Blickle T and Thiele L An evolutionary approach to system-level synthesis Proceedings of the 5th International Workshop on Hardware/Software Co-Design
  453. Bogliolo A, Benini L and De Micheli G Adaptive least mean square behavioral power modeling Proceedings of the 1997 European conference on Design and Test
  454. Coudert O Solving Graph Optimization Problems with ZBDDs Proceedings of the 1997 European conference on Design and Test
  455. Sriram S and Lee E (1997). Determining the Order of Processor Transactions in Statically Scheduled Multiprocessors, Journal of VLSI Signal Processing Systems, 15:3, (207-220), Online publication date: 1-Mar-1997.
  456. Sriram S and Lee E (1997). Determining the Order of Processor Transactions in StaticallyScheduled Multiprocessors, Journal of VLSI Signal Processing Systems, 15:3, (207-220), Online publication date: 1-Mar-1997.
  457. Kalavade A and Lee E (1997). The Extended Partitioning Problem, Design Automation for Embedded Systems, 2:2, (125-163), Online publication date: 1-Mar-1997.
  458. Singh M and Nowick S Synthesis for Logical Initializability of Synchronous Finite State Machines Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
  459. Iyer B and Ciesielski M Metamorphosis Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design, (614-617)
  460. Pradhan D, Paul D and Chatterjee M VERILAT Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design, (88-95)
  461. Xiaoqing W and Saluja K A new method towards achieving global optimality in technology mapping Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design, (9-12)
  462. Blythe S and Walker R Toward a Practical Methodology for Completely Characterizing the Optimal Design Space Proceedings of the 9th international symposium on System synthesis
  463. Benini L, Vuillod P, de Micheli G and Coelho C Synthesis of low-power selectively-clocked systems from high-level specification Proceedings of the 9th international symposium on System synthesis
  464. Muench A, Glesner M and Wehn N An Efficient ILP-Based Scheduling Algorithm for Control-Dominated VHDL Descriptions Proceedings of the 9th international symposium on System synthesis
  465. Bennour I and Albouhamid E (1996). Lower bounds on the iteration time and the initiation interval of functional pipelining and loop folding, Design Automation for Embedded Systems, 1:4, (333-355), Online publication date: 1-Oct-1996.
  466. Sami M, Antola A and Piuri V A high-level synthesis approach to optimum design of self-checking circuits Proceedings of the conference on European design automation, (382-387)
  467. Chang J and Pedram M Module assignment for low power Proceedings of the conference on European design automation, (376-381)
  468. Gerez S and Woutersen E Assignment of storage values to sequential read-write memories Proceedings of the conference on European design automation, (302-307)
  469. Lin Y and Wu T Storage optimization by replacing some flip-flops with latches Proceedings of the conference on European design automation, (296-301)
  470. Senn M, Schneider P and Wurth B Power analysis for sequential circuits at logic level Proceedings of the conference on European design automation, (22-27)
  471. Pradhan D, Chatterjee M, Swarna M and Kunz W Gate-level synthesis for low-power using new transformations Proceedings of the 1996 international symposium on Low power electronics and design, (297-300)
  472. ACM
    Coudert O On solving covering problems Proceedings of the 33rd annual Design Automation Conference, (197-202)
  473. ACM
    Wagner K and Dey S High-level synthesis for testability Proceedings of the 33rd annual Design Automation Conference, (131-136)
  474. ACM
    Li J and Gupta R HDL optimization using timed decision tables Proceedings of the 33rd annual Design Automation Conference, (51-54)
  475. Favalli M, Benini L and de Micheli G Design for Testability of Gated-Clock FSMs Proceedings of the 1996 European conference on Design and Test
  476. Narasimhan N, Vemuri R and Roy J Synchronous Controller Models for Synthesis from Communicating VHDL Processes Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
  477. Balakrishnan A and Chakradhar S Retiming with logic duplication transformation Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
  478. Katkoori S, Roy J and Vemuri R A Hierarchical Register Optimization Algorithm for Behavioral Synthesis Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
  479. Kužnar R and Brglez F PROP Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (644-649)
  480. Chatterjee M, Pradhan D and Kunz W LOT Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (318-325)
  481. ACM
    Chaudhuri S, Blythe S and Walker R An exact methodology for scheduling in a 3D design space Proceedings of the 8th international symposium on System synthesis, (78-83)
  482. ACM
    Benini L and De Micheli G Transformation and synthesis of FSMs for low-power gated-clock implementation Proceedings of the 1995 international symposium on Low power design, (21-26)
  483. ACM
    Chang J and Pedram M Register allocation and binding for low power Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (29-35)
  484. Gajski D and Ramachandran L (1994). Introduction to High-Level Synthesis, IEEE Design & Test, 11:4, (44-54), Online publication date: 1-Oct-1994.
  485. Benini L, Siegel P and De Micheli G (1994). Saving Power by Synthesizing Gated Clocks for Sequential Circuits, IEEE Design & Test, 11:4, (32-41), Online publication date: 1-Oct-1994.
  486. Saito H, Imai M and Yoneda T A task allocation method for the DTTR scheme based on task scheduling of fault patterns 2016 IEEE International Symposium on Circuits and Systems (ISCAS), (237-240)
Contributors

Recommendations