No abstract available.
Cited By
- Mastoras A and Yzelman A Studying the expressiveness and performance of parallelization abstractions for linear pipelines Proceedings of the 14th International Workshop on Programming Models and Applications for Multicores and Manycores, (29-38)
- Sharma R, Kulshreshtha S and Thakur M ZS3: Marrying Static Analyzers and Constraint Solvers to Parallelize Loops in Managed Runtimes Proceedings of the 32nd Annual International Conference on Computer Science and Software Engineering, (213-220)
- Khan S, Chatterjee B and Pande S VICO Proceedings of the 36th ACM International Conference on Supercomputing, (1-14)
- Chikin A, Lloyd T, Amaral J, Tiotto E and Usman M (2019). Memory-access-aware Safety and Profitability Analysis for Transformation of Accelerator-bound OpenMP Loops, ACM Transactions on Architecture and Code Optimization, 16:3, (1-26), Online publication date: 20-Aug-2019.
- Gruber F, Selva M, Sampaio D, Guillon C, Moynault A, Pouchet L and Rastello F Data-flow/dependence profiling for structured transformations Proceedings of the 24th Symposium on Principles and Practice of Parallel Programming, (173-185)
- Na Y, Kim S and Han Y (2016). JavaScript Parallelizing Compiler for Exploiting Parallelism from Data-Parallel HTML5 Applications, ACM Transactions on Architecture and Code Optimization, 12:4, (1-25), Online publication date: 7-Jan-2016.
- Han J, Fujino R, Tamura R, Shimaoka M, Mikami H, Takamura M, Kamiya S, Suzuki K, Miyajima T, Kimura K and Kasahara H Reducing parallelizing compilation time by removing redundant analysis Proceedings of the 3rd International Workshop on Software Engineering for Parallel Systems, (1-9)
- Mehta S and Yew P Improving compiler scalability: optimizing large programs at small price Proceedings of the 36th ACM SIGPLAN Conference on Programming Language Design and Implementation, (143-152)
- Mehta S and Yew P (2015). Improving compiler scalability: optimizing large programs at small price, ACM SIGPLAN Notices, 50:6, (143-152), Online publication date: 7-Aug-2015.
- Kotha A, Anand K, Creech T, ElWazeer K, Smithson M, Yellareddy G and Barua R (2015). Affine Parallelization Using Dependence and Cache Analysis in a Binary Rewriter, IEEE Transactions on Parallel and Distributed Systems, 26:8, (2154-2163), Online publication date: 1-Aug-2015.
- McKinley K Author retrospective for optimizing for parallelism and data locality ACM International Conference on Supercomputing 25th Anniversary Volume, (15-17)
- Irigoin F, Jouvelot P and Triolet R Semantical interprocedural parallelization ACM International Conference on Supercomputing 25th Anniversary Volume, (143-150)
- Li Z Array privatization for parallel execution of loops ACM International Conference on Supercomputing 25th Anniversary Volume, (173-182)
- Kuncak V, Mayer M, Piskac R and Suter P (2013). Functional synthesis for linear arithmetic and sets, International Journal on Software Tools for Technology Transfer (STTT), 15:5-6, (455-474), Online publication date: 1-Oct-2013.
- Bobot F, Conchon S, Contejean E, Iguernelala M, Mahboubi A, Mebsout A and Melquiond G A simplex-based extension of fourier-motzkin for solving linear integer arithmetic Proceedings of the 6th international joint conference on Automated Reasoning, (67-81)
- Best M, Mottishaw S, Mustard C, Roth M, Fedorova A and Brownsword A (2011). Synchronization via scheduling, ACM SIGPLAN Notices, 46:6, (640-652), Online publication date: 4-Jun-2011.
- Best M, Mottishaw S, Mustard C, Roth M, Fedorova A and Brownsword A Synchronization via scheduling Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, (640-652)
- Yedlapalli P, Kultursay E and Kandemir M Cooperative parallelization Proceedings of the International Conference on Computer-Aided Design, (134-141)
- Kotha A, Anand K, Smithson M, Yellareddy G and Barua R Automatic Parallelization in a Binary Rewriter Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, (547-557)
- Kuncak V, Mayer M, Piskac R and Suter P Complete functional synthesis Proceedings of the 31st ACM SIGPLAN Conference on Programming Language Design and Implementation, (316-329)
- Kuncak V, Mayer M, Piskac R and Suter P (2010). Complete functional synthesis, ACM SIGPLAN Notices, 45:6, (316-329), Online publication date: 12-Jun-2010.
- Vechev M, Yahav E, Raman R and Sarkar V Automatic verification of determinism for structured parallel programs Proceedings of the 17th international conference on Static analysis, (455-471)
- Aslam A and Hendren L McFLAT Proceedings of the 23rd international conference on Languages and compilers for parallel computing, (1-15)
- Zhong Y, Shen X and Ding C (2009). Program locality analysis using reuse distance, ACM Transactions on Programming Languages and Systems, 31:6, (1-39), Online publication date: 1-Aug-2009.
- Rus S, Pennings M and Rauchwerger L Sensitivity analysis for automatic parallelization on multi-cores Proceedings of the 21st annual international conference on Supercomputing, (263-273)
- Lu Y, Gao P, Lv R, Su Z and Yu W Study of content-based image retrieval using parallel computing technique Proceedings of the 2007 Asian technology information program's (ATIP's) 3rd workshop on High performance computing in China: solution approaches to impediments for high performance computing, (186-191)
- Wu J and Chu C (2007). An exact data dependence testing method for quadratic expressions, Information Sciences: an International Journal, 177:23, (5316-5328), Online publication date: 1-Dec-2007.
- Huang C, Ravi S, Raghunathan A and Jha N (2007). Generation of heterogeneous distributed architectures for memory-intensive applications through high-level synthesis, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:11, (1191-1204), Online publication date: 1-Nov-2007.
- Son S, Chen G and Kandemir M A Compiler-Guided Approach for Reducing Disk Power Consumption by Exploiting Disk Access Locality Proceedings of the International Symposium on Code Generation and Optimization, (256-268)
- Kejariwal A, Nicolau A, Saito H, Tian X, Girkar M, Banerjee U and Polychronopoulos C A general approach for partitioning N-dimensional parallel nested loops with conditionals Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures, (49-58)
- Birch J, van Engelen R, Gallivan K and Shou Y An empirical evaluation of chains of recurrences for array dependence testing Proceedings of the 15th international conference on Parallel architectures and compilation techniques, (295-304)
- Kejariwal A, Tian X, Li W, Girkar M, Kozhukhov S, Saito H, Banerjee U, Nicolau A, Veidenbaum A and Polychronopoulos C On the performance potential of different types of speculative thread-level parallelism Proceedings of the 20th annual international conference on Supercomputing
- Vasilache N, Bastoul C, Cohen A and Girbal S Violated dependence analysis Proceedings of the 20th annual international conference on Supercomputing, (335-344)
- Shou Y, van Engelen R, Birch J and Gallivan K Toward efficient flow-sensitive induction variable analysis and dependence testing for loop optimization Proceedings of the 44th annual Southeast regional conference, (1-6)
- Strout M and Hovland P Linearity analysis for automatic differentiation Proceedings of the 6th international conference on Computational Science - Volume Part IV, (574-581)
- Chang W, Chu C and Wu J (2005). A Polynomial-Time Dependence Test for Determining Integer-Valued Solutions in Multi-Dimensional Arrays Under Variable Bounds, The Journal of Supercomputing, 31:2, (111-135), Online publication date: 1-Feb-2005.
- Dai X, Zhai A, Hsu W and Yew P A General Compiler Framework for Speculative Optimizations Using Data Speculative Code Motion Proceedings of the international symposium on Code generation and optimization, (280-290)
- Xu B, Qian J, Zhang X, Wu Z and Chen L (2005). A brief survey of program slicing, ACM SIGSOFT Software Engineering Notes, 30:2, (1-36), Online publication date: 1-Mar-2005.
- Li F and Kandemir M Locality-conscious workload assignment for array-based computations in MPSOC architectures Proceedings of the 42nd annual Design Automation Conference, (95-100)
- Giordano M and Furnari M An incremental compilation approach for OpenMP applications Proceedings of the 2005 IFIP international conference on Network and Parallel Computing, (249-252)
- Shashidhar K, Bruynooghe M, Catthoor F and Janssens G Verification of source code transformations by program equivalence checking Proceedings of the 14th international conference on Compiler Construction, (221-236)
- Dimitriou G and Polychronopoulos C Hardware support for multithreaded execution of loops with limited parallelism Proceedings of the 10th Panhellenic conference on Advances in Informatics, (622-632)
- Zaretsky D, Mittal G, Dick R and Banerjee P Generation of control and data flow graphs from scheduled and pipelined assembly code Proceedings of the 18th international conference on Languages and Compilers for Parallel Computing, (76-90)
- Yi Q Applying Data Copy to Improve Memory Performance of General Array Computations Languages and Compilers for Parallel Computing, (91-105)
- Yi Q, Kennedy K and Adve V (2004). Transforming Complex Loop Nests for Locality, The Journal of Supercomputing, 27:3, (219-264), Online publication date: 1-Mar-2004.
- van Engelen R, Birch J, Shou Y, Walsh B and Gallivan K A unified framework for nonlinear dependence testing and symbolic analysis Proceedings of the 18th annual international conference on Supercomputing, (106-115)
- Lam M and Wolf M (2004). A data locality optimizing algorithm, ACM SIGPLAN Notices, 39:4, (442-459), Online publication date: 1-Apr-2004.
- Zhai A, Colohan C, Steffan J and Mowry T Compiler Optimization of Memory-Resident Value Communication Between Speculative Threads Proceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization
- Yi Q and Quinlan D Applying loop optimizations to object-oriented abstractions through general classification of array semantics Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing, (253-267)
- Zhang G, Unnikrishnan P and Ren J Experiments with auto-parallelizing SPEC2000FP benchmarks Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing, (348-362)
- Yi Q and Kennedy K (2004). Improving Memory Hierarchy Performance through Combined Loop Interchange and Multi-Level Fusion, International Journal of High Performance Computing Applications, 18:2, (237-253), Online publication date: 1-May-2004.
- Psarris K and Kyriakopoulos K The impact of data dependence analysis on compilation and program parallelization Proceedings of the 17th annual international conference on Supercomputing, (205-214)
- Bukatov A Building the program parallelization system based on a very wide spectrum program transformation system Proceedings of the 2003 international conference on Computational science: PartII, (945-954)
- Huang C, Ravi S, Raghunathan A and Jha N Synthesis of Heterogeneous Distributed Architectures for Memory-Intensive Applications Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
- Kandemir M, Ramanujam J and Choudhary A Exploiting shared scratch pad memory space in embedded multiprocessor systems Proceedings of the 39th annual Design Automation Conference, (219-224)
- Kandemir M, Choudhary A and Ramanujam J (2002). An I/O-Conscious Tiling Strategy for Disk-Resident Data Sets, The Journal of Supercomputing, 21:3, (257-284), Online publication date: 1-Mar-2002.
- Yang B, Zheng F, Wang D and Zheng W (2002). Interactive and symbolic data dependence analysis based on ranges of expressions, Journal of Computer Science and Technology, 17:2, (160-171), Online publication date: 1-Mar-2002.
- Ben-Asher Y and Haber G (2001). Parallel Solutions of Simple Indexed Recurrence Equations, IEEE Transactions on Parallel and Distributed Systems, 12:1, (22-37), Online publication date: 1-Jan-2001.
- Wu P, Cohen A, Hoeflinger J and Padua D Monotonic evolution Proceedings of the 15th international conference on Supercomputing, (78-91)
- Kim S, Ooi C, Eigenmann R, Falsafi B and Vijaykumar T Reference idempotency analysis Proceedings of the eighth ACM SIGPLAN symposium on Principles and practices of parallel programming, (2-11)
- Kim S, Ooi C, Eigenmann R, Falsafi B and Vijaykumar T (2001). Reference idempotency analysis, ACM SIGPLAN Notices, 36:7, (2-11), Online publication date: 1-Jul-2001.
- Tu P and Padua D Automatic array privatization Compiler optimizations for scalable parallel systems, (247-281)
- Kjeldsberg P, Catthoor F and Aas E Detection of partially simultaneously alive signals in storage requirement estimation for data intensive applications Proceedings of the 38th annual Design Automation Conference, (365-370)
- Ryder B, Landi W, Stocks P, Zhang S and Altucher R (2001). A schema for interprocedural modification side-effect analysis with pointer aliasing, ACM Transactions on Programming Languages and Systems, 23:2, (105-186), Online publication date: 1-Mar-2001.
- Amme W, Braun P, Thomasset F and Zehendner E (2000). Data Dependence Analysis of Assembly Code, International Journal of Parallel Programming, 28:5, (431-467), Online publication date: 1-Oct-2000.
- Kjeldsberg P, Catthoor F and Aas E Automated data dependency size estimation with a partially fixed execution ordering Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (44-50)
- Omori Y, Fukuda A and Joe K (1999). An Object-Oriented Framework for Loop Parallelization, The Journal of Supercomputing, 13:1, (57-69), Online publication date: 1-Jan-1999.
- Gornish E and Veidenbaum A (1999). An Integrated Hardware/Software Data Prefetching Scheme for Shared-Memory Multiprocessors, International Journal of Parallel Programming, 27:1, (35-70), Online publication date: 1-Feb-1999.
- Kandemir M, Choudhary A, Shenoy N, Banerjee P and Ramanujam J (1999). A Linear Algebra Framework for Automatic Determination of Optimal Data Layouts, IEEE Transactions on Parallel and Distributed Systems, 10:2, (115-135), Online publication date: 1-Feb-1999.
- Rauchwerger L and Padua D (1999). The LRPD Test, IEEE Transactions on Parallel and Distributed Systems, 10:2, (160-180), Online publication date: 1-Feb-1999.
- Jin G, Li Z and Chen F (1998). An Efficient Solution to the Cache Thrashing Problem Caused by True Data Sharing, IEEE Transactions on Computers, 47:5, (527-543), Online publication date: 1-May-1998.
- Blume W and Eigenmann R (1998). Nonlinear and Symbolic Data Dependence Testing, IEEE Transactions on Parallel and Distributed Systems, 9:12, (1180-1194), Online publication date: 1-Dec-1998.
- Kandemir M, Choudhary A, Shenoy N, Banerjee P and Ramanujam J A hyperplane based approach for optimizing spatial locality in loop nests Proceedings of the 12th international conference on Supercomputing, (69-76)
- Bodin F, Mével Y and Quiniou R A user level program transformation tool Proceedings of the 12th international conference on Supercomputing, (180-187)
- Chang W and Chu C The infinity Lambda test Proceedings of the 12th international conference on Supercomputing, (196-203)
- Murthy P, Bhattacharyya S and Lee E (1997). Joint Minimization of Code and Data for Synchronous DataflowPrograms, Formal Methods in System Design, 11:1, (41-70), Online publication date: 1-Jul-1997.
- Manjikian N and Abdelrahman T (1997). Fusion of Loops for Parallelism and Locality, IEEE Transactions on Parallel and Distributed Systems, 8:2, (193-209), Online publication date: 1-Feb-1997.
- Fernández M and Ramsey N Automatic checking of instruction specifications Proceedings of the 19th international conference on Software engineering, (326-336)
- Megiddo N and Sarkar V Optimal weighted loop fusion for parallel programs Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures, (282-291)
- Rajamony R and Cox A Performance debugging shared memory parallel programs using run-time dependence analysis Proceedings of the 1997 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, (75-87)
- Rajamony R and Cox A (1997). Performance debugging shared memory parallel programs using run-time dependence analysis, ACM SIGMETRICS Performance Evaluation Review, 25:1, (75-87), Online publication date: 1-Jun-1997.
- Moshovos A, Breach S, Vijaykumar T and Sohi G Dynamic speculation and synchronization of data dependences Proceedings of the 24th annual international symposium on Computer architecture, (181-193)
- Moshovos A, Breach S, Vijaykumar T and Sohi G (1997). Dynamic speculation and synchronization of data dependences, ACM SIGARCH Computer Architecture News, 25:2, (181-193), Online publication date: 1-May-1997.
- Chongwe S Simulation of aerodynamics problem on a distributed shared-memory machine Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
- Hartenstein R and Becker J Hardware/Software Co-Design for Data-Driven Xputer-based Accelerators Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
- Tsuchiya T, Terada H, Kusumoto S, Kikuno T and Kim E Derivation of Safety Requirements for Safety Analysis of Object-Oriented Design Documents Proceedings of the 21st International Computer Software and Applications Conference, (232-239)
- Chen Y, Wang S and Wang C (1996). Tiling Nested Loops into Maximal Rectangular Blocks, Journal of Parallel and Distributed Computing, 35:2, (123-132), Online publication date: 15-Jun-1996.
- Shang W, Hodzic E and Chen Z (1996). On Uniformization of Affine Dependence Algorithms, IEEE Transactions on Computers, 45:7, (827-840), Online publication date: 1-Jul-1996.
- Petersen P and Padua D (1996). Static and Dynamic Evaluation of Data Dependence Analysis Techniques, IEEE Transactions on Parallel and Distributed Systems, 7:11, (1121-1132), Online publication date: 1-Nov-1996.
- Koufaty D, Chen X, Poulsen D and Torrellas J (1996). Data Forwarding in Scalable Shared-Memory Multiprocessors, IEEE Transactions on Parallel and Distributed Systems, 7:12, (1250-1264), Online publication date: 1-Dec-1996.
- Rinard M and Diniz P Commutativity analysis Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation, (54-67)
- Yoshida A, Koshizuka K and Kasahara H Data-localization for Fortran macro-dataflow computation using partial static task assignment Proceedings of the 10th international conference on Supercomputing, (61-68)
- Rinard M and Diniz P (1996). Commutativity analysis, ACM SIGPLAN Notices, 31:5, (54-67), Online publication date: 1-May-1996.
- Forgács I An exact array reference analysis for data flow testing Proceedings of the 18th international conference on Software engineering, (565-574)
- Chen D and Yew P (1996). On Effective Execution of Nonuniform DOACROSS Loops, IEEE Transactions on Parallel and Distributed Systems, 7:5, (463-476), Online publication date: 1-May-1996.
- Hartenstein R, Becker J, Herz M, Kress R and Nageldinger U A Partitioning Programming Environment for a Novel Parallel Architecture Proceedings of the 10th International Parallel Processing Symposium, (544-548)
- Saavedra-Barrera R, Mao W, Park D, Chame J and Moon S The Combined Effectiveness of Unimodular Transformations, Tiling, and Software Prefetching Proceedings of the 10th International Parallel Processing Symposium, (39-45)
- Matsumoto A, Han D and Tsuda T (1996). Alias analysis of pointers in Pascal and Fortran 90: dependence analysis between pointer references, Acta Informatica, 33:2, (99-130), Online publication date: 1-Mar-1996.
- Spezialetti M and Gupta R (1995). Loop Monotonic Statements, IEEE Transactions on Software Engineering, 21:6, (497-505), Online publication date: 1-Jun-1995.
- Subhlok J and Kennedy K (1995). Integer Programming for Array Subscript Analysis, IEEE Transactions on Parallel and Distributed Systems, 6:6, (662-668), Online publication date: 1-Jun-1995.
- Patterson J Accurate static branch prediction by value range propagation Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation, (67-78)
- Rauchwerger L and Padua D The LRPD test Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation, (218-232)
- Collard J, Barthou D and Feautrier P Fuzzy array dataflow analysis Proceedings of the fifth ACM SIGPLAN symposium on Principles and practice of parallel programming, (92-101)
- Collard J, Barthou D and Feautrier P (1995). Fuzzy array dataflow analysis, ACM SIGPLAN Notices, 30:8, (92-101), Online publication date: 1-Aug-1995.
- Patterson J (1995). Accurate static branch prediction by value range propagation, ACM SIGPLAN Notices, 30:6, (67-78), Online publication date: 1-Jun-1995.
- Rauchwerger L and Padua D (1995). The LRPD test, ACM SIGPLAN Notices, 30:6, (218-232), Online publication date: 1-Jun-1995.
- Gu J, Li Z and Lee G Symbolic array dataflow analysis for array privatization and program parallelization Proceedings of the 1995 ACM/IEEE conference on Supercomputing, (47-es)
- Rauchwerger L, Amato N and Padua D Run-time methods for parallelizing partially parallel loops Proceedings of the 9th international conference on Supercomputing, (137-146)
- Koufaty D, Chen X, Poulsen D and Torrellas J Data forwarding in scalable shared-memory multiprocessors Proceedings of the 9th international conference on Supercomputing, (255-264)
- Ohta H, Saito Y, Kainaga M and Ono H Optimal tile size adjustment in compiling general DOACROSS loop nests Proceedings of the 9th international conference on Supercomputing, (270-279)
- Hartenstein R and Schmidt K Combining structural and procedural programming by parallelizing compilation Proceedings of the 1995 ACM symposium on Applied computing, (130-134)
- Youssef A Translation of serial recursive codes to parallel SIMD codes Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, (254-263)
- Roy K and McCrosky C Transformation of functional specifications of finite difference methods to parallel distributed codes Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, (268-272)
- Kitasuka T, Joe K, Schouten D, Fukuda A and Araki K A loop parallelization technique for linear dependence vector Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, (285-289)
- Rauchwerger L and Padua D The privatizing DOALL test Proceedings of the 8th international conference on Supercomputing, (33-43)
- Huang A, Slavenburg G and Shen J Speculative disambiguation Proceedings of the 21st annual international symposium on Computer architecture, (200-210)
- Huang A, Slavenburg G and Shen J (1994). Speculative disambiguation, ACM SIGARCH Computer Architecture News, 22:2, (200-210), Online publication date: 1-Apr-1994.
- Bernstein D, Cohen D and Maydan D Dynamic memory disambiguation for array references Proceedings of the 27th annual international symposium on Microarchitecture, (105-111)
- Gallagher D, Chen W, Mahlke S, Gyllenhaal J and Hwu W (1994). Dynamic memory disambiguation using the memory conflict buffer, ACM SIGPLAN Notices, 29:11, (183-193), Online publication date: 1-Nov-1994.
- Gallagher D, Chen W, Mahlke S, Gyllenhaal J and Hwu W Dynamic memory disambiguation using the memory conflict buffer Proceedings of the sixth international conference on Architectural support for programming languages and operating systems, (183-193)
- Gallagher D, Chen W, Mahlke S, Gyllenhaal J and Hwu W (1994). Dynamic memory disambiguation using the memory conflict buffer, ACM SIGOPS Operating Systems Review, 28:5, (183-193), Online publication date: 1-Dec-1994.
- Zaafrani A and Ito M Expressing cross-loop dependencies through hyperplane data dependence analysis Proceedings of the 1994 ACM/IEEE conference on Supercomputing, (508-517)
- Chen D, Torrellas J and Yew P An efficient algorithm for the run-time parallelization of DOACROSS loops Proceedings of the 1994 ACM/IEEE conference on Supercomputing, (518-527)
- Blume W and Eigenmann R The range test Proceedings of the 1994 ACM/IEEE conference on Supercomputing, (528-537)
- Kim I and Wolfe M Communication Analysis for Multicomputer Compilers Proceedings of the IFIP WG10.3 Working Conference on Parallel Architectures and Compilation Techniques, (101-110)
- Tzen T and Ni L (1993). Dependence Uniformization, IEEE Transactions on Parallel and Distributed Systems, 4:5, (547-558), Online publication date: 1-May-1993.
- Landi W, Ryder B and Zhang S Interprocedural modification side effect analysis with pointer aliasing Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation, (56-67)
- Amarasinghe S and Lam M Communication optimization and code generation for distributed memory machines Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation, (126-138)
- Sharma S, Huang C and Sadayappan P (1993). On data dependence analysis for compiling programs on distributed-memory machines (extended abstract), ACM SIGPLAN Notices, 28:1, (13-16), Online publication date: 1-Jan-1993.
- Maydan D, Amarasinghe S and Lam M Array-data flow analysis and its use in array privatization Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (2-15)
- Philippsen M, Heinz E and Lukowicz P (1993). Compiling machine-independent parallel programs, ACM SIGPLAN Notices, 28:8, (99-108), Online publication date: 1-Aug-1993.
- Petersen P and Padua D Static and dynamic evaluation of data dependence analysis Proceedings of the 7th international conference on Supercomputing, (107-116)
- Ayguadé E and Torres J Partitioning the statement per iteration space using non-singular matrices Proceedings of the 7th international conference on Supercomputing, (407-415)
- Zaafrani A and Ito M Partitioning the global space for distributed memory systems Proceedings of the 1993 ACM/IEEE conference on Supercomputing, (327-336)
- Bik A and Wijshoff H Advanced compiler optimizations for sparse computations Proceedings of the 1993 ACM/IEEE conference on Supercomputing, (430-439)
- Landi W, Ryder B and Zhang S (1993). Interprocedural modification side effect analysis with pointer aliasing, ACM SIGPLAN Notices, 28:6, (56-67), Online publication date: 1-Jun-1993.
- Amarasinghe S and Lam M (1993). Communication optimization and code generation for distributed memory machines, ACM SIGPLAN Notices, 28:6, (126-138), Online publication date: 1-Jun-1993.
- Girkar M and Polychronopoulos C (1992). Automatic Extraction of Functional Parallelism from Ordinary Programs, IEEE Transactions on Parallel and Distributed Systems, 3:2, (166-178), Online publication date: 1-Mar-1992.
- Wolfe M and Tseng C (1992). The Power Test for Data Dependence, IEEE Transactions on Parallel and Distributed Systems, 3:5, (591-601), Online publication date: 1-Sep-1992.
- Pugh W (1992). A practical algorithm for exact array dependence analysis, Communications of the ACM, 35:8, (102-114), Online publication date: 1-Aug-1992.
- Maslov V Delinearization Proceedings of the ACM SIGPLAN 1992 conference on Programming language design and implementation, (152-161)
- Sarkar V and Thekkath R A general framework for iteration-reordering loop transformations Proceedings of the ACM SIGPLAN 1992 conference on Programming language design and implementation, (175-187)
- Maslov V (1992). Delinearization, ACM SIGPLAN Notices, 27:7, (152-161), Online publication date: 1-Jul-1992.
- Sarkar V and Thekkath R (1992). A general framework for iteration-reordering loop transformations, ACM SIGPLAN Notices, 27:7, (175-187), Online publication date: 1-Jul-1992.
- Kumar K, Kulkarni D and Basu A Deriving good transformations for mapping nested loops on hierarchical parallel machines in polynomial time Proceedings of the 6th international conference on Supercomputing, (82-92)
- Chen W, Mahlke S, Hwu W, Kiyohara T and Chang P Tolerating data access latency with register preloading Proceedings of the 6th international conference on Supercomputing, (104-113)
- Masdupuy F Array abstractions using semantic analysis of trapezoid congruences Proceedings of the 6th international conference on Supercomputing, (226-235)
- Eisenbeis C and Sogno J A general algorithm for data dependence analysis Proceedings of the 6th international conference on Supercomputing, (292-302)
- Psarris K On exact data dependence analysis Proceedings of the 6th international conference on Supercomputing, (303-312)
- Li Z Array privatization for parallel execution of loops Proceedings of the 6th international conference on Supercomputing, (313-322)
- Beckmann C and Polychronopoulos C (1992). Microarchitecture support for dynamic scheduling of acyclic task graphs, ACM SIGMICRO Newsletter, 23:1-2, (140-148), Online publication date: 10-Dec-1992.
- Beckmann C and Polychronopoulos C Microarchitecture support for dynamic scheduling of acyclic task graphs Proceedings of the 25th annual international symposium on Microarchitecture, (140-148)
- Ramanujam J Non-unimodular transformations of nested loops Proceedings of the 1992 ACM/IEEE conference on Supercomputing, (214-223)
- Wolf M and Lam M (1991). A Loop Transformation Theory and an Algorithm to Maximize Parallelism, IEEE Transactions on Parallel and Distributed Systems, 2:4, (452-471), Online publication date: 1-Oct-1991.
- Hege H and Stüben H Vectorization and parallelization of irregular problems via graph coloring Proceedings of the 5th international conference on Supercomputing, (47-56)
- Kulkarni D, Kumar K, Basu A and Paulraj A Loop partitioning for distributed memory multiprocessors as unimodular transformations Proceedings of the 5th international conference on Supercomputing, (206-215)
- Irigoin F, Jouvelot P and Triolet R Semantical interprocedural parallelization Proceedings of the 5th international conference on Supercomputing, (244-251)
- Wolfe M Experiences with data dependence abstractions Proceedings of the 5th international conference on Supercomputing, (321-329)
- Psarris K, Kong X and Klappholz D Extending the I test to direction vectors Proceedings of the 5th international conference on Supercomputing, (330-340)
- Pugh W Uniform techniques for loop optimization Proceedings of the 5th international conference on Supercomputing, (341-352)
- Appelbe B, Smith K and Stirewalt K PATCH—a new algorithm for rapid incremental dependence analysis Proceedings of the 5th international conference on Supercomputing, (424-432)
- Maydan D, Hennessy J and Lam M Efficient and exact data dependence analysis Proceedings of the ACM SIGPLAN 1991 conference on Programming language design and implementation, (1-14)
- Goff G, Kennedy K and Tseng C Practical dependence testing Proceedings of the ACM SIGPLAN 1991 conference on Programming language design and implementation, (15-29)
- Wolf M and Lam M A data locality optimizing algorithm Proceedings of the ACM SIGPLAN 1991 conference on Programming language design and implementation, (30-44)
- Maydan D, Hennessy J and Lam M (1991). Efficient and exact data dependence analysis, ACM SIGPLAN Notices, 26:6, (1-14), Online publication date: 1-Jun-1991.
- Goff G, Kennedy K and Tseng C (1991). Practical dependence testing, ACM SIGPLAN Notices, 26:6, (15-29), Online publication date: 1-Jun-1991.
- Wolf M and Lam M (1991). A data locality optimizing algorithm, ACM SIGPLAN Notices, 26:6, (30-44), Online publication date: 1-Jun-1991.
- Gupta R and Spezialetti M Loop monotonic computations Proceedings of the symposium on Testing, analysis, and verification, (98-111)
- Beaty S Genetic algorithms and instruction scheduling Proceedings of the 24th annual international symposium on Microarchitecture, (206-211)
- Pugh W The Omega test: a fast and practical integer programming algorithm for dependence analysis Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (4-13)
- Ramanujam J and Sadayappan P Tiling multidimensional iteration spaces for nonshared memory machines Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (111-120)
- Bird P and Uhlig R Using Lookahead to reduce memory bank contention for decoupled operand references Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (187-196)
- Hall M, Kennedy K and McKinley K Interprocedural transformations for parallel code generation Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (424-434)
- Smith L Vectorizing C compilers: how good are they? Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (544-553)
- Annaratone M, Fillo M, Halbherr M, Rühl R, Steiner P and Viredaz M The K2 distributed memory parallel processor Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (900-909)
- Harper D and Linebarger D (1991). Conflict-Free Vector Access Using a Dynamic Storage Scheme, IEEE Transactions on Computers, 40:3, (276-283), Online publication date: 1-Mar-1991.
- Tang P, Yew P and Zhu C (1990). Compiler techniques for data synchronization in nested parallel loops, ACM SIGARCH Computer Architecture News, 18:3b, (177-186), Online publication date: 1-Sep-1990.
- Klappholz D, Psarris K and Kong X (1990). On the perfect accuracy of an approximate subscript analysis test, ACM SIGARCH Computer Architecture News, 18:3b, (201-212), Online publication date: 1-Sep-1990.
- Smith K, Appelbe B and Stirewalt K (1990). Incremental dependence analysis for interactive parallelization, ACM SIGARCH Computer Architecture News, 18:3b, (330-341), Online publication date: 1-Sep-1990.
- Rühl R and Annaratone M (1990). Parallelization of FORTRAN code on distributed-memory parallel processors, ACM SIGARCH Computer Architecture News, 18:3b, (342-353), Online publication date: 1-Sep-1990.
- Tang P, Yew P and Zhu C Compiler techniques for data synchronization in nested parallel loops Proceedings of the 4th international conference on Supercomputing, (177-186)
- Klappholz D, Psarris K and Kong X On the perfect accuracy of an approximate subscript analysis test Proceedings of the 4th international conference on Supercomputing, (201-212)
- Smith K, Appelbe B and Stirewalt K Incremental dependence analysis for interactive parallelization Proceedings of the 4th international conference on Supercomputing, (330-341)
- Rühl R and Annaratone M Parallelization of FORTRAN code on distributed-memory parallel processors Proceedings of the 4th international conference on Supercomputing, (342-353)
- Kennedy K and McKinley K Loop distribution with arbitrary control flow Proceedings of the 1990 ACM/IEEE conference on Supercomputing, (407-416)
- Lu L and Chen M Subdomain dependence test for massive parallelism Proceedings of the 1990 ACM/IEEE conference on Supercomputing, (962-972)
- Sweany P and Beaty S Post-compaction register assignment in a retargetable compiler Proceedings of the 23rd annual workshop and symposium on Microprogramming and microarchitecture, (107-116)
- Rudolph D and Polychronopoulos C An efficient message-passing scheduler based on guided self scheduling Proceedings of the 3rd international conference on Supercomputing, (50-61)
- Li Z, Yew P and Zhu C Data dependence analysis on multi-dimensional array references Proceedings of the 3rd international conference on Supercomputing, (215-224)
- Emrath P and Padua D (1988). Automatic detection of nondeterminacy in parallel programs, ACM SIGPLAN Notices, 24:1, (89-99), Online publication date: 3-Jan-1989.
- Balasundaram V and Kennedy K A technique for summarizing data access and its use in parallelism enhancing transformations Proceedings of the ACM SIGPLAN 1989 conference on Programming language design and implementation, (41-53)
- Balasundaram V and Kennedy K (1989). A technique for summarizing data access and its use in parallelism enhancing transformations, ACM SIGPLAN Notices, 24:7, (41-53), Online publication date: 1-Jul-1989.
- Balasundaram V, Kennedy K, Kremer U, McKinley K and Subhlok J The parascope editor: an interactive parallel programming tool Proceedings of the 1989 ACM/IEEE conference on Supercomputing, (540-550)
- Emrath P, Chosh S and Padua D Event synchronization analysis for debugging parallel programs Proceedings of the 1989 ACM/IEEE conference on Supercomputing, (580-588)
- Klappholz D, Kong X and Kalis A Refined Fortran: an update Proceedings of the 1989 ACM/IEEE conference on Supercomputing, (607-615)
- Ramanujam J and Sadayappan P A methodology for parallelizing programs for multicomputers and complex memory multiprocessors Proceedings of the 1989 ACM/IEEE conference on Supercomputing, (637-646)
- Wolfe M More iteration space tiling Proceedings of the 1989 ACM/IEEE conference on Supercomputing, (655-664)
- Emrath P and Padua D Automatic detection of nondeterminacy in parallel programs Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging, (89-99)
Recommendations
DEISA--Distributed European Infrastructure for Supercomputing Applications
The paper presents an overview of the current research and achievements of the DEISA project, with a focus on the general concept of the infrastructure, the operational model, application projects and science communities, the DEISA Extreme Computing ...
Hungarian Supercomputing Grid
ICCS '02: Proceedings of the International Conference on Computational Science-Part IIThe main objective of the paper is to describe the main goals and activities within the newly formed Hungarian Supercomputing Grid (H-SuperGrid) which will be used as a high-performance and highthroughput Grid. In order to achieve these two features ...
Tail dependence functions and vine copulas
Tail dependence and conditional tail dependence functions describe, respectively, the tail probabilities and conditional tail probabilities of a copula at various relative scales. The properties as well as the interplay of these two functions are ...