skip to main content
Skip header Section
ARM Architecture Reference ManualDecember 2000
Publisher:
  • Addison-Wesley Longman Publishing Co., Inc.
  • 75 Arlington Street, Suite 300 Boston, MA
  • United States
ISBN:978-0-201-73719-6
Published:01 December 2000
Pages:
816
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

From the Publisher:

This is the authoritative reference guide to the ARM RISC architecture. Produced by the architects that are actively working on the ARM specification, the book contains detailed information about all versions of the ARM and Thumb instruction sets, the memory management and cache functions, as well as optimized code examples.

Cited By

  1. ACM
    Song S, Khan T, Shahri S, Sriraman A, Soundararajan N, Subramoney S, Jiménez D, Litz H and Kasikci B Thermometer Proceedings of the 49th Annual International Symposium on Computer Architecture, (742-756)
  2. ACM
    Wang W, McCamant S, Zhai A and Yew P (2018). Enhancing Cross-ISA DBT Through Automatically Learned Translation Rules, ACM SIGPLAN Notices, 53:2, (84-97), Online publication date: 30-Nov-2018.
  3. ACM
    Gao Z, Xu L, Turner G, Patel B, Diallo N, Chen L and Shi W Blockchain-based Identity Management with Mobile Device Proceedings of the 1st Workshop on Cryptocurrencies and Blockchains for Distributed Systems, (66-70)
  4. ACM
    Wang W, McCamant S, Zhai A and Yew P Enhancing Cross-ISA DBT Through Automatically Learned Translation Rules Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, (84-97)
  5. Fan Y and Joseph R D2M Proceedings of the Summer Simulation Multi-Conference, (1-13)
  6. ACM
    Stanic M, Palomar O, Hayes T, Ratkovic I, Cristal A, Unsal O and Valero M (2017). An Integrated Vector-Scalar Design on an In-Order ARM Core, ACM Transactions on Architecture and Code Optimization, 14:2, (1-26), Online publication date: 21-Jul-2017.
  7. ACM
    Abrath B, Coppens B, Volckaert S, Wijnant J and De Sutter B Tightly-coupled self-debugging software protection Proceedings of the 6th Workshop on Software Security, Protection, and Reverse Engineering, (1-10)
  8. ACM
    Yu X, Liu H, Zou E and Devadas S Tardis 2.0 Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, (261-274)
  9. ACM
    Kim S, Kwon S, Kim J and Jeong J (2015). Controlling physical memory fragmentation in mobile systems, ACM SIGPLAN Notices, 50:11, (1-14), Online publication date: 28-Jan-2016.
  10. ACM
    Kafshdooz M, Taram M, Assadi S and Ejlali A (2016). A Compile-Time Optimization Method for WCET Reduction in Real-Time Embedded Systems through Block Formation, ACM Transactions on Architecture and Code Optimization, 12:4, (1-25), Online publication date: 7-Jan-2016.
  11. Tang X, Liang Y, Ma X, Lin Y and Gao D On the Effectiveness of Code-Reuse-Based Android Application Obfuscation Proceedings of the 19th International Conference on Information Security and Cryptology - Volume 10157, (333-349)
  12. ACM
    Kim S, Kwon S, Kim J and Jeong J Controlling physical memory fragmentation in mobile systems Proceedings of the 2015 International Symposium on Memory Management, (1-14)
  13. ACM
    Gionta J, Enck W and Ning P HideM Proceedings of the 5th ACM Conference on Data and Application Security and Privacy, (325-336)
  14. Park T, Seo H, Liu Z, Choi J and Kim H Compact Implementations of LSH Revised Selected Papers of the 16th International Workshop on Information Security Applications - Volume 9503, (41-53)
  15. ACM
    Shen B, Hsu W and Yang W (2014). A Retargetable Static Binary Translator for the ARM Architecture, ACM Transactions on Architecture and Code Optimization, 11:2, (1-25), Online publication date: 1-Jun-2014.
  16. ACM
    Gautschi M, Rossi D and Benini L Customizing an open source processor to fit in an ultra-low power cluster with a shared L1 memory Proceedings of the 24th edition of the great lakes symposium on VLSI, (87-88)
  17. Flohr J and Charzinski J A Comparative Study of Traffic Properties for Web Pages Optimized for Mobile Hand-Held and Non-mobile Devices Proceedings of the 17th International GI/ITG Conference on Measurement, Modelling, and Evaluation of Computing Systems and Dependability and Fault Tolerance - Volume 8376, (29-42)
  18. ACM
    Stanier J and Watson D (2013). Intermediate representations in imperative compilers, ACM Computing Surveys, 45:3, (1-27), Online publication date: 1-Jun-2013.
  19. ACM
    Lee J, Youn J, Cho D and Paek Y (2013). Reducing instruction bit-width for low-power VLIW architectures, ACM Transactions on Design Automation of Electronic Systems, 18:2, (1-32), Online publication date: 1-Mar-2013.
  20. ACM
    Shen B, Chen J, Hsu W and Yang W LLBT Proceedings of the 2012 international conference on Compilers, architectures and synthesis for embedded systems, (51-60)
  21. ACM
    Dreesen R Generating interlocked instruction pipelines from specifications of instruction sets Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (285-294)
  22. ACM
    Chiang M and Hsu H Supporting dynamic update and resource protection in an embedded operating system Proceedings of the 2011 ACM Symposium on Applied Computing, (616-620)
  23. ACM
    Kondoh G and Komatsu H (2010). Dynamic binary translation specialized for embedded systems, ACM SIGPLAN Notices, 45:7, (157-166), Online publication date: 1-Jul-2010.
  24. ACM
    Hormati A, Choi Y, Woh M, Kudlur M, Rabbah R, Mudge T and Mahlke S MacroSS Proceedings of the fifteenth International Conference on Architectural support for programming languages and operating systems, (285-296)
  25. ACM
    Kondoh G and Komatsu H Dynamic binary translation specialized for embedded systems Proceedings of the 6th ACM SIGPLAN/SIGOPS international conference on Virtual execution environments, (157-166)
  26. ACM
    Hormati A, Choi Y, Woh M, Kudlur M, Rabbah R, Mudge T and Mahlke S (2010). MacroSS, ACM SIGPLAN Notices, 45:3, (285-296), Online publication date: 5-Mar-2010.
  27. ACM
    Hormati A, Choi Y, Woh M, Kudlur M, Rabbah R, Mudge T and Mahlke S (2010). MacroSS, ACM SIGARCH Computer Architecture News, 38:1, (285-296), Online publication date: 5-Mar-2010.
  28. Osvik D, Bos J, Stefan D and Canright D Fast software AES encryption Proceedings of the 17th international conference on Fast software encryption, (75-93)
  29. Hidayat F, Satria H and Kwon J Software verification of a virtual development environment for embedded software Proceedings of the 9th WSEAS international conference on Software engineering, parallel and distributed systems, (115-118)
  30. ACM
    Beck M, Haupt M and Hirschfeld R NXTalk Proceedings of the International Workshop on Smalltalk Technologies, (38-49)
  31. ACM
    Koes D and Goldstein S Register allocation deconstructed Proceedings of th 12th International Workshop on Software and Compilers for Embedded Systems, (21-30)
  32. ACM
    Szczechowiak P, Kargl A, Scott M and Collier M On the application of pairing based cryptography to wireless sensor networks Proceedings of the second ACM conference on Wireless network security, (1-12)
  33. Cereia M and Bertolotti I (2009). Virtual machines for distributed real-time systems, Computer Standards & Interfaces, 31:1, (30-39), Online publication date: 1-Jan-2009.
  34. ACM
    Bungo J (2008). The use of compiler optimizations for embedded systems software, XRDS: Crossroads, The ACM Magazine for Students, 15:1, (8-15), Online publication date: 1-Sep-2008.
  35. ACM
    Middha B, Simpson M and Barua R (2008). MTSS, ACM Transactions on Embedded Computing Systems, 7:4, (1-37), Online publication date: 1-Jul-2008.
  36. ACM
    Gelado I, Kelm J, Ryoo S, Lumetta S, Navarro N and Hwu W CUBA Proceedings of the 22nd annual international conference on Supercomputing, (299-308)
  37. ACM
    Chu A, Miller S and Sima M Reconfigurable solutions for very-long arithmetic with applications in cryptography Proceedings of the 18th ACM Great Lakes symposium on VLSI, (59-64)
  38. Myreen M and Gordon M Hoare logic for realistically modelled machine code Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems, (568-582)
  39. ACM
    Schaeckeler S and Shang W Stack size reduction of recursive programs Proceedings of the 2007 international conference on Compilers, architecture, and synthesis for embedded systems, (48-52)
  40. Shen B and Chiang M A server-side pre-linking mechanism for updating embedded clients dynamically Proceedings of the 2007 international conference on Embedded and ubiquitous computing, (146-157)
  41. Dolev S and Haviv Y Stabilization enabling technology Proceedings of the 8th international conference on Stabilization, safety, and security of distributed systems, (1-15)
  42. ACM
    Blome J, Gupta S, Feng S and Mahlke S Cost-efficient soft error protection for embedded microprocessors Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems, (421-431)
  43. ACM
    von Platen C and Eker J (2006). Feedback linking, ACM SIGPLAN Notices, 41:7, (2-11), Online publication date: 12-Jul-2006.
  44. ACM
    von Platen C and Eker J Feedback linking Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems, (2-11)
  45. Park G, Chung S, Kim H, Im J, Park J, Kim S and Park S Practice and experience of an embedded processor core modeling Proceedings of the Second international conference on High Performance Computing and Communications, (621-630)
  46. Kangas T, Hämäläinen T and Kuusilinna K (2006). Scalable Architecture for SoC Video Encoders, Journal of VLSI Signal Processing Systems, 44:1-2, (79-95), Online publication date: 1-Aug-2006.
  47. Chang C, Wu W, Su H, Huang Z and Li H ARM based microcontroller for image capturing in FPGA design Proceedings of the First international conference on Advances in Visual Computing, (672-677)
  48. ACM
    Middha B, Simpson M and Barua R MTSS Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems, (191-201)
  49. ACM
    Simpson M, Middha B and Barua R Segment protection for embedded systems using run-time checks Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems, (66-77)
  50. Clark N, Zhong H and Mahlke S (2005). Automated Custom Instruction Generation for Domain-Specific Processor Acceleration, IEEE Transactions on Computers, 54:10, (1258-1270), Online publication date: 1-Oct-2005.
  51. Ravindran R, Senger R, Marsman E, Dasika G, Guthaus M, Mahlke S and Brown R (2005). Partitioning Variables across Register Windows to Reduce Spill Code in a Low-Power Processor, IEEE Transactions on Computers, 54:8, (998-1012), Online publication date: 1-Aug-2005.
  52. Paver N, Khan M, Aldrich B and Emmons C (2005). Accelerating Mobile Video, Journal of VLSI Signal Processing Systems, 41:1, (21-34), Online publication date: 1-Aug-2005.
  53. Goodacre J and Sloss A (2005). Parallelism and the ARM Instruction Set Architecture, Computer, 38:7, (42-50), Online publication date: 1-Jul-2005.
  54. Fox A An algebraic framework for verifying the correctness of hardware with input and output Proceedings of the First international conference on Algebra and Coalgebra in Computer Science, (157-174)
  55. ACM
    Costa R and Rohou E Comparing the size of .NET applications with native code Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (99-104)
  56. ACM
    Netto E, Azevedo R, Centoducatte P and Araujo G Multi-profile based code compression Proceedings of the 41st annual Design Automation Conference, (244-249)
  57. Bainbridge W, Plana L and Furber S The Design and Test of a Smartcard Chip Using a CHAIN Self-Timed Network-on-Chip Proceedings of the conference on Design, automation and test in Europe - Volume 3
  58. Zhu X and Malik S Using a Communication Architecture Specification in an Application-Driven Retargetable Prototyping Platform for Multiprocessing Proceedings of the conference on Design, automation and test in Europe - Volume 2
  59. ACM
    Angiolini F, Menichelli F, Ferrero A, Benini L and Olivieri M A post-compiler approach to scratchpad mapping of code Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems, (259-267)
  60. ACM
    Citron D, Haber G and Levin R Reducing program image size by extracting frozen code and data Proceedings of the 4th ACM international conference on Embedded software, (297-305)
  61. Moon B, Yoon H, Yun I and Kang S An in-order SMT architecture with static resource partitioning for consumer applications Proceedings of the 5th international conference on Parallel and Distributed Computing: applications and Technologies, (539-544)
  62. Li B, Zhang Y and Gupta R Speculative subword register allocation in embedded processors Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing, (56-71)
  63. ACM
    Krishnaswamy A and Gupta R (2003). Enhancing the performance of 16-bit code using augmenting instructions, ACM SIGPLAN Notices, 38:7, (254-264), Online publication date: 11-Jul-2003.
  64. ACM
    Krishnaswamy A and Gupta R Enhancing the performance of 16-bit code using augmenting instructions Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems, (254-264)
  65. ACM
    Krishnaswamy A and Gupta R (2003). Mixed-width instruction sets, Communications of the ACM, 46:8, (47-52), Online publication date: 1-Aug-2003.
  66. ACM
    Nandivada V and Palsberg J Efficient spill code for SDRAM Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems, (24-31)
  67. ACM
    Krishna R, Mahlke S and Austin T Architectural optimizations for low-power, real-time speech recognition Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems, (220-231)
  68. Clark N, Zhong H and Mahlke S Processor Acceleration Through Automated Instruction Set Customization Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
  69. ACM
    Tallam S and Gupta R (2003). Bitwidth aware global register allocation, ACM SIGPLAN Notices, 38:1, (85-96), Online publication date: 15-Jan-2003.
  70. ACM
    Tallam S and Gupta R Bitwidth aware global register allocation Proceedings of the 30th ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (85-96)
  71. ACM
    Li B and Gupta R Bit section instruction set extension of ARM for embedded applications Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems, (69-78)
  72. ACM
    Krishnaswamy A and Gupta R (2002). Profile guided selection of ARM and thumb instructions, ACM SIGPLAN Notices, 37:7, (56-64), Online publication date: 17-Jul-2002.
  73. ACM
    Krishnaswamy A and Gupta R Profile guided selection of ARM and thumb instructions Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems, (56-64)
  74. ACM
    Greer B, Harrison J, Henry G, Li W and Tang P Scientific computing on the Itanium™ processor Proceedings of the 2001 ACM/IEEE conference on Supercomputing, (41-41)
  75. ACM
    Sjödin J and von Platen C Storage allocation for embedded processors Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems, (15-23)
Contributors

Recommendations