Abstract
No abstract available.
Cited By
- Lu Y, Yu S and Chang Y (2022). On-Chip Optical Routing With Provably Good Algorithms for Path Clustering and Assignment, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41:11, (4653-4666), Online publication date: 1-Nov-2022.
- Blelloch G, Ferizovic D and Sun Y (2022). Joinable Parallel Balanced Binary Trees, ACM Transactions on Parallel Computing, 9:2, (1-41), Online publication date: 30-Jun-2022.
- Hamdi M, Ghannem A and Kessentini M (2022). Requirements traceability recovery for the purpose of software reuse: an interactive genetic algorithm approach, Innovations in Systems and Software Engineering, 18:1, (193-213), Online publication date: 1-Mar-2022.
- Gummidi S, Pedersen T and Xie X Transit-based Task Assignment in Spatial Crowdsourcing Proceedings of the 32nd International Conference on Scientific and Statistical Database Management, (1-12)
- Brandenburg F (2018). Recognizing Optimal 1-Planar Graphs in Linear Time, Algorithmica, 80:1, (1-28), Online publication date: 1-Jan-2018.
- Berger F, Gritzmann P and de Vries S (2017). Computing cyclic invariants for molecular graphs, Networks, 70:2, (116-131), Online publication date: 1-Sep-2017.
- Xu Q, Chen S, Xu X and Yu B (2017). Clustered Fault Tolerance TSV Planning for 3-D Integrated Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36:8, (1287-1300), Online publication date: 1-Aug-2017.
- Mehlhorn K, Neumann A and Schmidt J (2017). Certifying 3-Edge-Connectivity, Algorithmica, 77:2, (309-335), Online publication date: 1-Feb-2017.
- Amani S, Andronick J, Bortin M, Lewis C, Rizkallah C and Tuong J Complx: a verification framework for concurrent imperative programs Proceedings of the 6th ACM SIGPLAN Conference on Certified Programs and Proofs, (138-150)
- Lee M, Sugihara K and Kim D (2016). Topology-Oriented Incremental Algorithm for the Robust Construction of the Voronoi Diagrams of Disks, ACM Transactions on Mathematical Software, 43:2, (1-23), Online publication date: 2-Sep-2016.
- Bian Z, Gu Q and Zhu M (2016). Practical algorithms for branch-decompositions of planar graphs, Discrete Applied Mathematics, 199:C, (156-171), Online publication date: 30-Jan-2016.
- Mörig M and Schirra S Precision-Driven Computation in the Evaluation of Expression-Dags with Common Subexpressions Revised Selected Papers of the 6th International Conference on Mathematical Aspects of Computer and Information Sciences - Volume 9582, (451-465)
- Mörig M Another Classroom Example of Robustness Problems in Planar Convex Hull Computation Revised Selected Papers of the 6th International Conference on Mathematical Aspects of Computer and Information Sciences - Volume 9582, (446-450)
- Subramani K and Wojciechowski P A Graphical Theorem of the Alternative for UTVPI Constraints Proceedings of the 12th International Colloquium on Theoretical Aspects of Computing - ICTAC 2015 - Volume 9399, (328-345)
- Chang H, Erickson J and Xu C Detecting weakly simple polygons Proceedings of the twenty-sixth annual ACM-SIAM symposium on Discrete algorithms, (1655-1670)
- Nguyen T and Rothe J (2014). Minimizing envy and maximizing average Nash social welfare in the allocation of indivisible goods, Discrete Applied Mathematics, 179:C, (54-68), Online publication date: 31-Dec-2015.
- Alstrup S, Thorup M, Gørtz I, Rauhe T and Zwick U (2014). Union-Find with Constant Time Deletions, ACM Transactions on Algorithms, 11:1, (1-28), Online publication date: 28-Oct-2014.
- Cazals F, Dreyfus T, Sachdeva S and Shah N (2014). Greedy Geometric Algorithms for Collection of Balls, with Applications to Geometric Approximation and Molecular Coarse-Graining, Computer Graphics Forum, 33:6, (1-17), Online publication date: 1-Sep-2014.
- Noschinski L, Rizkallah C and Mehlhorn K Verification of Certifying Computations through AutoCorres and Simpl Proceedings of the 6th International Symposium on NASA Formal Methods - Volume 8430, (46-61)
- Schirra S A Note on Sekigawa's Zero Separation Bound Proceedings of the 15th International Workshop on Computer Algebra in Scientific Computing - Volume 8136, (331-339)
- Cicirello V and Regli W (2013). A flexible and extensible approach to automated CAD/CAM format classification, Computers and Graphics, 37:5, (484-495), Online publication date: 1-Aug-2013.
- Kaya K, Langguth J, Manne F and UçAr B (2013). Push-relabel based algorithms for the maximum transversal problem, Computers and Operations Research, 40:5, (1266-1275), Online publication date: 1-May-2013.
- Elmasry A, Mehlhorn K and Schmidt J (2013). Every DFS Tree of a 3-Connected Graph Contains a Contractible Edge, Journal of Graph Theory, 72:1, (112-121), Online publication date: 1-Jan-2013.
- Li N, Yan X, Wen Z and Khan A Density index and proximity search in large graphs Proceedings of the 21st ACM international conference on Information and knowledge management, (235-244)
- Edelkamp S, Elmasry A and Katajainen J The weak-heap family of priority queues in theory and praxis Proceedings of the Eighteenth Computing: The Australasian Theory Symposium - Volume 128, (103-112)
- Duff I, Kaya K and Uçcar B (2012). Design, implementation, and analysis of maximum transversal algorithms, ACM Transactions on Mathematical Software, 38:2, (1-31), Online publication date: 1-Dec-2011.
- Alkassar E, Böhme S, Mehlhorn K and Rizkallah C Verification of certifying computations Proceedings of the 23rd international conference on Computer aided verification, (67-82)
- Meinert S and Wagner D An experimental study on generating planar graphs Proceedings of the 5th joint international frontiers in algorithmics, and 7th international conference on Algorithmic aspects in information and management, (375-387)
- Michail D (2011). An experimental comparison of single-sided preference matching algorithms, ACM Journal of Experimental Algorithmics, 16, (1.1-1.16), Online publication date: 1-May-2011.
- Mcconnell R, Mehlhorn K, Näher S and Schweitzer P (2011). Survey, Computer Science Review, 5:2, (119-161), Online publication date: 1-May-2011.
- Carette J, Elsheikh M and Smith S A generative geometric kernel Proceedings of the 20th ACM SIGPLAN workshop on Partial evaluation and program manipulation, (53-62)
- English M, Buckley J and Cahill T (2010). A replicated and refined empirical study of the use of friends in C++ software, Journal of Systems and Software, 83:11, (2275-2286), Online publication date: 1-Nov-2010.
- Mehlhorn K Reliable and efficient geometric computing Proceedings of the Third international congress conference on Mathematical software, (10-11)
- Amaldi E, Iuliano C and Rizzi R Efficient deterministic algorithms for finding a minimum cycle basis in undirected graphs Proceedings of the 14th international conference on Integer Programming and Combinatorial Optimization, (397-410)
- Malewicz G, Austern M, Bik A, Dehnert J, Horn I, Leiser N and Czajkowski G Pregel Proceedings of the 2010 ACM SIGMOD International Conference on Management of data, (135-146)
- Bruun A, Edelkamp S, Katajainen J and Rasmussen J Policy-based benchmarking of weak heaps and their relatives, Proceedings of the 9th international conference on Experimental Algorithms, (424-435)
- Patwary M, Blair J and Manne F Experiments on union-find algorithms for the disjoint-set data structure Proceedings of the 9th international conference on Experimental Algorithms, (411-423)
- Löffler M and Snoeyink J (2010). Delaunay triangulation of imprecise points in linear time after preprocessing, Computational Geometry: Theory and Applications, 43:3, (234-242), Online publication date: 1-Apr-2010.
- Kim D, Yun I and Lee S (2010). Attributed relational graph matching based on the nested assignment structure, Pattern Recognition, 43:3, (914-928), Online publication date: 1-Mar-2010.
- Cattaneo G, Faruolo P, Petrillo U and Italiano G (2010). Maintaining dynamic minimum spanning trees, Discrete Applied Mathematics, 158:5, (404-425), Online publication date: 1-Mar-2010.
- Lee D Computational geometry II Algorithms and theory of computation handbook, (2-2)
- Lee D Computational geometry I Algorithms and theory of computation handbook, (1-1)
- Holzer M, Schulz F, Wagner D, Prasinos G and Zaroliagis C (2010). Engineering planar separator algorithms, ACM Journal of Experimental Algorithmics, 14, (1.5-1.31), Online publication date: 1-Dec-2009.
- Frias L, Petit J and Roura S (2010). Lists revisited, ACM Journal of Experimental Algorithmics, 14, (3.5-3.27), Online publication date: 1-Dec-2009.
- Maue J, Sanders P and Matijevic D (2010). Goal-directed shortest-path queries using precomputed cluster distances, ACM Journal of Experimental Algorithmics, 14, (3.2-3.27), Online publication date: 1-Dec-2009.
- Farshi M and Gudmundsson J (2010). Experimental study of geometric t-spanners, ACM Journal of Experimental Algorithmics, 14, (1.3-1.39), Online publication date: 1-Dec-2009.
- Marzban M, Gu Q and Jia X (2009). Computational study on planar dominating set problem, Theoretical Computer Science, 410:52, (5455-5466), Online publication date: 1-Dec-2009.
- Çakıroglu O, Erten C, Karataş Ö and Sözdinler M (2009). Crossing minimization in weighted bipartite graphs, Journal of Discrete Algorithms, 7:4, (439-452), Online publication date: 1-Dec-2009.
- Spielman D and Teng S (2009). Smoothed analysis, Communications of the ACM, 52:10, (76-84), Online publication date: 1-Oct-2009.
- Hell P and Kirkpatrick D (2009). Linear-time certifying algorithms for near-graphical sequences, Discrete Mathematics, 309:18, (5703-5713), Online publication date: 1-Sep-2009.
- Katajainen J and Simonsen B Adaptable component frameworks Proceedings of the 2009 ACM SIGPLAN workshop on Generic programming, (13-24)
- Kaplan H and Nussbaum Y (2009). Certifying algorithms for recognizing proper circular-arc graphs and unit circular-arc graphs, Discrete Applied Mathematics, 157:15, (3216-3230), Online publication date: 1-Aug-2009.
- Yang Y, Blum R and Sadler B (2009). Energy-efficient routing for signal detection in wireless sensor networks, IEEE Transactions on Signal Processing, 57:6, (2050-2063), Online publication date: 1-Jun-2009.
- Kittipiyakul S and Javidi T (2009). Delay-optimal server allocation in multiqueue multiserver systems with time-varying connectivities, IEEE Transactions on Information Theory, 55:5, (2319-2333), Online publication date: 1-May-2009.
- Abbasi S, Healy P and Rextin A An Improved Upward Planarity Testing Algorithm and Related Applications Proceedings of the 3rd International Workshop on Algorithms and Computation, (334-344)
- Holzer M, Schulz F and Wagner D (2009). Engineering multilevel overlay graphs for shortest-path queries, ACM Journal of Experimental Algorithmics, 13, (2.5-2.26), Online publication date: 1-Feb-2009.
- Haran I and Halperin D (2009). An experimental study of point location in planar arrangements in CGAL, ACM Journal of Experimental Algorithmics, 13, (2.3-2.32), Online publication date: 1-Feb-2009.
- Rybnikov K (2009). An efficient local approach to convexity testing of piecewise-linear hypersurfaces, Computational Geometry: Theory and Applications, 42:2, (147-172), Online publication date: 1-Feb-2009.
- Stefanakos S (2008). Reliable routings in networks with generalized link failure events, IEEE/ACM Transactions on Networking, 16:6, (1331-1339), Online publication date: 1-Dec-2008.
- Lvov A and Finkler U Exact basic geometric operations on arbitrary angle polygons using only fixed size integer coordinates Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, (494-498)
- Mogal H and Bazargan K Thermal-aware floorplanning for task migration enabled active sub-threshold leakage reduction Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, (302-305)
- Doerr B, Happ E and Klein C Crossover can provably be useful in evolutionary computation Proceedings of the 10th annual conference on Genetic and evolutionary computation, (539-546)
- Löffler M and Snoeyink J Delaunay triangulations of imprecise pointsin linear time after preprocessing Proceedings of the twenty-fourth annual symposium on Computational geometry, (298-304)
- Wang Y, Luk W, Zeng X, Tao J, Yan C, Tong J, Cai W and Ni J Timing yield driven clock skew scheduling considering non-Gaussian distributions of critical path delays Proceedings of the 45th annual Design Automation Conference, (223-226)
- Sugihara K Toward superrobust geometric computation Proceedings of the 2008 ACM symposium on Solid and physical modeling, (11-12)
- Krommidas I and Zaroliagis C (2008). An experimental study of algorithms for fully dynamic transitive closure, ACM Journal of Experimental Algorithmics, 12, (1-22), Online publication date: 1-Jun-2008.
- Bian Z and Gu Q Computing branch decomposition of large planar graphs Proceedings of the 7th international conference on Experimental algorithms, (87-100)
- Singler J and Konsik B The GNU libstdc++ parallel mode Proceedings of the 1st international workshop on Multicore software engineering, (15-22)
- Kettner L, Mehlhorn K, Pion S, Schirra S and Yap C (2008). Classroom examples of robustness problems in geometric computations, Computational Geometry: Theory and Applications, 40:1, (61-78), Online publication date: 1-May-2008.
- Eigenwillig A and Kerber M Exact and efficient 2D-arrangements of arbitrary algebraic curves Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms, (122-131)
- Goodrich M and Sun J Checking value-sensitive data structures in sublinear space Proceedings of the 18th international conference on Algorithms and computation, (353-364)
- Michail D (2007). Reducing rank-maximal to maximum weight matching, Theoretical Computer Science, 389:1-2, (125-132), Online publication date: 10-Dec-2007.
- Yuh P, Yang C and Chang Y BioRoute Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (752-757)
- Järvi J, Marcus M and Smith J Library composition and adaptation using c++ concepts Proceedings of the 6th international conference on Generative programming and component engineering, (73-82)
- Caravantes J and Gonzalez-Vega L Computing the topology of an arrangement of quartics Proceedings of the 12th IMA international conference on Mathematics of surfaces XII, (104-120)
- Althaus E and Canzar S A lagrangian relaxation approach for the multiple sequence alignment problem Proceedings of the 1st international conference on Combinatorial optimization and applications, (267-278)
- Maue J and Sanders P Engineering algorithms for approximate weighted matching Proceedings of the 6th international conference on Experimental algorithms, (242-255)
- Çakiroğlu O, Erten C, Karatas Ö and Sözdinler M Crossing minimization in weighted bipartite graphs Proceedings of the 6th international conference on Experimental algorithms, (122-135)
- Goodrich M, Papamanthou C and Tamassia R On the cost of persistence and authentication in skip lists Proceedings of the 6th international conference on Experimental algorithms, (94-107)
- Eigenwillig A, Kettner L and Wolpert N Snap rounding of Bézier curves Proceedings of the twenty-third annual symposium on Computational geometry, (158-167)
- Hanniel I and Wein R An exact, complete and efficient computation of arrangements of Bézier curves Proceedings of the 2007 ACM symposium on Solid and physical modeling, (253-263)
- Wein R (2007). Exact and approximate construction of offset polygons, Computer-Aided Design, 39:6, (518-527), Online publication date: 1-Jun-2007.
- Lin Y and Huang S Tools and algorithms for refined comparison of protein structures Proceedings of the 6th conference on Microelectronics, nanoelectronics, optoelectronics, (26-31)
- Yang Y and Blum R Energy-efficient routing for signal detection under the Neyman-Pearson criterion in wireless sensor networks Proceedings of the 6th international conference on Information processing in sensor networks, (303-312)
- Inbar Y, Schneidman-Duhovny D, Dror O, Nussinov R and Wolfson H Deterministic pharmacophore detection via multiple flexible alignment of drug-like molecules Proceedings of the 11th annual international conference on Research in computational molecular biology, (412-429)
- Lim D (2007). Taking students out for a ride, ACM SIGCSE Bulletin, 39:1, (367-371), Online publication date: 7-Mar-2007.
- Lim D Taking students out for a ride Proceedings of the 38th SIGCSE technical symposium on Computer science education, (367-371)
- Wagner D and Willhalm T Speed-up techniques for shortest-path computations Proceedings of the 24th annual conference on Theoretical aspects of computer science, (23-36)
- Finocchi I, Grandoni F and Italiano G Resilient search trees Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms, (547-553)
- Heggernes P and Kratsch D (2007). Linear-time certifying recognition algorithms and forbidden induced subgraphs, Nordic Journal of Computing, 14:1, (87-108), Online publication date: 1-Jan-2007.
- Lasker K, Dror O, Shatsky M, Nussinov R and Wolfson H (2007). EMatch, IEEE/ACM Transactions on Computational Biology and Bioinformatics, 4:1, (28-39), Online publication date: 1-Jan-2007.
- DuttaGupta A, Bishnu A and Sengupta I Optimisation problems based on the maximal breach path measure for wireless sensor network coverage Proceedings of the Third international conference on Distributed Computing and Internet Technology, (27-40)
- Kämpke T (2006). Distance Patterns in Structural Similarity, The Journal of Machine Learning Research, 7, (2065-2086), Online publication date: 1-Dec-2006.
- Dimitriou T, Nikoletseas S and Spirakis P (2006). The infection time of graphs, Discrete Applied Mathematics, 154:18, (2577-2589), Online publication date: 1-Dec-2006.
- Wilczyński B and Tiuryn J Regulatory network reconstruction using stochastic logical networks Proceedings of the 2006 international conference on Computational Methods in Systems Biology, (142-154)
- Chatzigiannakis I, Kokkinos P and Zaroliagis C Routing protocols for efficient communication in wireless ad-hoc networks Proceedings of the 3rd ACM international workshop on Performance evaluation of wireless ad hoc, sensor and ubiquitous networks, (90-97)
- Beldiceanu N, Carlsson M and Thiel S (2006). Sweep synchronization as a global propagation mechanism, Computers and Operations Research, 33:10, (2835-2851), Online publication date: 1-Oct-2006.
- Eigenwillig A, Kettner L, Schömer E and Wolpert N (2006). Exact, efficient, and complete arrangement computation for cubic curves, Computational Geometry: Theory and Applications, 35:1-2, (36-73), Online publication date: 1-Aug-2006.
- Mehlhorn K, Osbild R and Sagraloff M Reliable and efficient computational geometry via controlled perturbation Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part I, (299-310)
- Safro I, Ron D and Brandt A (2006). Graph minimum linear arrangement by multilevel weighted edge contractions, Journal of Algorithms, 60:1, (24-41), Online publication date: 1-Jul-2006.
- Milenkovic V and Sacks E An approximate arrangement algorithm for semi-algebraic curves Proceedings of the twenty-second annual symposium on Computational geometry, (237-246)
- Maue J, Sanders P and Matijevic D Goal directed shortest path queries using precomputed cluster distances Proceedings of the 5th international conference on Experimental Algorithms, (316-327)
- Frias L, Petit J and Roura S Lists revisited Proceedings of the 5th international conference on Experimental Algorithms, (121-133)
- Nikoletseas S Algorithms for wireless sensor networks Proceedings of the 5th international conference on Experimental Algorithms, (1-12)
- Stefanakos S Reliable routings in networks with generalized link failure events Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems, (221-232)
- Przytycka T An important connection between network motifs and parsimony models Proceedings of the 10th annual international conference on Research in Computational Molecular Biology, (321-335)
- Boukerche A, Chatzigiannakis I and Nikoletseas S (2006). A new energy efficient and fault-tolerant protocol for data propagation in smart dust networks using varying transmission range, Computer Communications, 29:4, (477-489), Online publication date: 1-Feb-2006.
- Subramani K and Desovski D (2006). On contrasting vertex contraction with relaxation-based approaches for negative cost cycle detection, Applied Mathematics and Computation, 173:1, (273-305), Online publication date: 1-Feb-2006.
- Holzer M, Schulz F and Wagner D Engineering multi-level overlay graphs for shortest-path queries Proceedings of the Meeting on Algorithm Engineering & Expermiments, (156-170)
- Haran I and Halperin D An experimental study of point location in general planar arrangements Proceedings of the Meeting on Algorithm Engineering & Expermiments, (16-25)
- Gal A Managing uncertainty in schema matching with top-k schema mappings Journal on Data Semantics VI, (90-114)
- Holzer M, Schulz F, Wagner D and Willhalm T (2005). Combining speed-up techniques for shortest-path computations, ACM Journal of Experimental Algorithmics, 10, (2.5-es), Online publication date: 31-Dec-2005.
- Wagner D, Willhalm T and Zaroliagis C (2005). Geometric containers for efficient shortest-path computation, ACM Journal of Experimental Algorithmics, 10, (1.3-es), Online publication date: 31-Dec-2005.
- Das G, Das S, Nandy S and Sinha B Placing a given number of base stations to cover a convex region Proceedings of the 7th international conference on Distributed Computing, (57-62)
- Brandt J and Schneider K Dependable polygon-processing algorithms for safety-critical embedded systems Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing, (405-417)
- Brandt J and Schneider K Using three-valued logic to specify and verify algorithms of computational geometry Proceedings of the 7th international conference on Formal Methods and Software Engineering, (405-420)
- Burgués X, Franch X and Ribó J A MOF-compliant approach to software quality modeling Proceedings of the 24th international conference on Conceptual Modeling, (176-191)
- Holzer M, Prasinos G, Schulz F, Wagner D and Zaroliagis C Engineering planar separator algorithms Proceedings of the 13th annual European conference on Algorithms, (628-639)
- Farshi M and Gudmundsson J Experimental study of geometric t-spanners Proceedings of the 13th annual European conference on Algorithms, (556-567)
- Krommidas I and Zaroliagis C An experimental study of algorithms for fully dynamic transitive closure Proceedings of the 13th annual European conference on Algorithms, (544-555)
- Agarwal P, Arge L and Yi K I/O-efficient construction of constrained delaunay triangulations Proceedings of the 13th annual European conference on Algorithms, (355-366)
- Berberich E, Eigenwillig A, Hemmer M, Hert S, Kettner L, Mehlhorn K, Reichel J, Schmitt S, Schömer E and Wolpert N EXACUS Proceedings of the 13th annual European conference on Algorithms, (155-166)
- Lasker K, Dror O, Nussinov R and Wolfson H Discovery of protein substructures in EM maps Proceedings of the 5th International conference on Algorithms in Bioinformatics, (423-434)
- De Nivelle H and Piskac R Verification of an Off-Line Checker for Priority Queues Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods, (210-219)
- Fröhlich H, Wegner J, Sieker F and Zell A Optimal assignment kernels for attributed molecular graphs Proceedings of the 22nd international conference on Machine learning, (225-232)
- Gansner E, Koren Y and North S (2005). Topological Fisheye Views for Visualizing Large Graphs, IEEE Transactions on Visualization and Computer Graphics, 11:4, (457-468), Online publication date: 1-Jul-2005.
- Hachenberger P and Kettner L Boolean operations on 3D selective Nef complexes Proceedings of the 2005 ACM symposium on Solid and physical modeling, (163-174)
- Goodrich M, Atallah M and Tamassia R Indexing information for data forensics Proceedings of the Third international conference on Applied Cryptography and Network Security, (206-221)
- Berberich E, Hemmer M, Kettner L, Schömer E and Wolpert N An exact, complete and efficient implementation for computing planar maps of quadric intersection curves Proceedings of the twenty-first annual symposium on Computational geometry, (99-106)
- Boukerche A, Chatzigiannakis I and Nikoletseas S (2005). Power-Efficient Data Propagation Protocols for Wireless Sensor Networks, Simulation, 81:6, (399-411), Online publication date: 1-Jun-2005.
- Subramani K and Desovski D On the empirical efficiency of the vertex contraction algorithm for detecting negative cost cyles in networks Proceedings of the 5th international conference on Computational Science - Volume Part I, (180-187)
- Przytycka T, Davis G, Song N and Durand D Graph theoretical insights into evolution of multidomain proteins Proceedings of the 9th Annual international conference on Research in Computational Molecular Biology, (311-325)
- Bekker H, Braad E and Goldengorin B Selecting the roots of a small system of polynomial equations by tolerance based matching Proceedings of the 4th international conference on Experimental and Efficient Algorithms, (610-613)
- Mehlhorn K and Michail D Implementing minimum cycle basis algorithms Proceedings of the 4th international conference on Experimental and Efficient Algorithms, (32-43)
- Andreou M, Papadopoulou V, Spirakis P, Theodorides B and Xeros A Generating and radiocoloring families of perfect graphs Proceedings of the 4th international conference on Experimental and Efficient Algorithms, (302-314)
- Panagopoulou P and Spirakis P Efficient convergence to pure nash equilibria in weighted network congestion games Proceedings of the 4th international conference on Experimental and Efficient Algorithms, (203-215)
- Möhring R, Schilling H, Schütz B, Wagner D and Willhalm T Partitioning graphs to speed up dijkstra's algorithm Proceedings of the 4th international conference on Experimental and Efficient Algorithms, (189-202)
- Bekker H, Braad E and Goldengorin B Using bipartite and multidimensional matching to select the roots of a system of polynomial equations Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV, (397-406)
- Keim D, Panse C and North S (2005). Medial-Axis-Based Cartograms, IEEE Computer Graphics and Applications, 25:3, (60-68), Online publication date: 1-May-2005.
- Rodolakis G, Siachalou S and Georgiadis L Replicated server placement with qos constraints Proceedings of the Third international conference on Quality of Service in Multiservice IP Networks, (207-220)
- Kropatsch W, Haxhimusa Y, Pizlo Z and Langs G (2005). Vision pyramids that do not grow too high, Pattern Recognition Letters, 26:3, (319-337), Online publication date: 1-Feb-2005.
- Funke S, Klein C, Mehlhorn K and Schmitt S Controlled perturbation for Delaunay triangulations Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms, (1047-1056)
- Alber J, Dorn F and Niedermeier R (2005). Experimental evaluation of a tree decomposition-based algorithm for vertex cover on planar graphs, Discrete Applied Mathematics, 145:2, (219-231), Online publication date: 15-Jan-2005.
- Cong G and Bader D Lock-Free parallel algorithms Proceedings of the 11th international conference on High Performance Computing, (516-527)
- Fuchss R, Koller A, Niehren J and Thater S Minimal recursion semantics as dominance constraints Proceedings of the 42nd Annual Meeting on Association for Computational Linguistics, (247-es)
- Alber J, Fernau H and Niedermeier R (2004). Parameterized complexity, Journal of Algorithms, 52:1, (26-56), Online publication date: 1-Jul-2004.
- Baur M and Brandes U Crossing reduction in circular layouts Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science, (332-343)
- Finocchi I and Italiano G Sorting and searching in the presence of memory faults (without redundancy) Proceedings of the thirty-sixth annual ACM symposium on Theory of computing, (101-110)
- Ouchi K and Keyser J Handling degeneracies in exact boundary evaluation Proceedings of the ninth ACM symposium on Solid modeling and applications, (321-326)
- Eigenwillig A, Kettner L, Schömer E and Wolpert N Complete, exact, and efficient computations with cubic curves Proceedings of the twentieth annual symposium on Computational geometry, (409-418)
- Funke S, Malamatos T and Ray R Finding planar regions in a terrain Proceedings of the twentieth annual symposium on Computational geometry, (96-105)
- Collins A, Zomorodian A, Carlsson G and Guibas L A barcode shape descriptor for curve point cloud data Proceedings of the First Eurographics conference on Point-Based Graphics, (181-191)
- Pagh R and Rodler F (2004). Cuckoo hashing, Journal of Algorithms, 51:2, (122-144), Online publication date: 1-May-2004.
- Smid M, Ray R, Wendt U and Lange K (2004). Computing large planar regions in terrains, with an application to fracture surfaces, Discrete Applied Mathematics, 139:1-3, (253-264), Online publication date: 30-Apr-2004.
- Antoniou T, Chatzigiannakis I, Mylonas G, Nikoletseas S and Boukerche A A New Energy Efficient and Fault-tolerant Protocol for Data Propagation in Smart Dust Networks Using Varying Transmission Range Proceedings of the 37th annual symposium on Simulation
- Chatzigiannakis I, Kinalis A, Poulakidas A, Prasinos G and Zaroliagis C DAP Proceedings of the 37th annual symposium on Simulation
- Nikoletseas S, Chatzigiannakis I, Euthimiou H, Kinalis A, Antoniou A and Mylonas G Energy Efficient Protocols for Sensing Multiple Events in Smart Dust Networks Proceedings of the 37th annual symposium on Simulation
- Marco J and Franch X (2003). A framework for designing and implementing the Ada standard container library, ACM SIGAda Ada Letters, XXIV:1, (49-61), Online publication date: 1-Mar-2004.
- McConnell R A certifying algorithm for the consecutive-ones property Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms, (768-777)
- Irving R, Kavitha T, Mehlhorn K, Michail D and Paluch K Rank-maximal matchings Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms, (68-75)
- Boukerche A and Nikoletseas S Protocols for data propagation in wireless sensor networks Wireless communications systems and networks, (23-51)
- Keim D, North S and Panse C (2004). CartoDraw, IEEE Transactions on Visualization and Computer Graphics, 10:1, (95-110), Online publication date: 1-Jan-2004.
- Ezra E, Halperin D and Sharir M (2004). Speeding up the incremental construction of the union of geometric objects in practice, Computational Geometry: Theory and Applications, 27:1, (63-85), Online publication date: 1-Jan-2004.
- Patkar S, Sharma H and Narayanan H Efficient network flow based ratio-cut netlist hypergraph partitioning Proceedings of the 2nd WSEAS International Conference on Electronics, Control and Signal Processing, (1-7)
- Marco J and Franch X A framework for designing and implementing the Ada standard container library Proceedings of the 2003 annual ACM SIGAda international conference on Ada: the engineering of correct and reliable software for real-time & distributed systems using ada and related technologies, (49-61)
- Siachalou S and Georgiadis L (2003). Efficient QoS routing, Computer Networks: The International Journal of Computer and Telecommunications Networking, 43:3, (351-367), Online publication date: 22-Oct-2003.
- Koldehofe B, Papatriantafilou M and Tsigas P (2003). Integrating a simulation-visualisation environment in a basic distributed systems course, ACM SIGCSE Bulletin, 35:3, (35-39), Online publication date: 1-Sep-2003.
- Sanders P (2003). Asynchronous Scheduling of Redundant Disk Arrays, IEEE Transactions on Computers, 52:9, (1170-1184), Online publication date: 1-Sep-2003.
- Althaus E, Duchier D, Koller A, Mehlhorn K, Niehren J and Thiel S (2003). An efficient graph algorithm for dominance constraints, Journal of Algorithms, 48:1, (194-219), Online publication date: 1-Aug-2003.
- Koldehofe B, Papatriantafilou M and Tsigas P Integrating a simulation-visualisation environment in a basic distributed systems course Proceedings of the 8th annual conference on Innovation and technology in computer science education, (35-39)
- Franch X and Marco J A quality model for the Ada standard container library Proceedings of the 8th Ada-Europe international conference on Reliable software technologies, (283-296)
- Halperin D and Leiserowitz E Controlled perturbation for arrangements of circles Proceedings of the nineteenth annual symposium on Computational geometry, (264-273)
- Wagner D Analysis and visualization of social networks Proceedings of the 2nd international conference on Experimental and efficient algorithms, (261-266)
- Andreou M, Nikoletseas S and Spirakis P Algorithms and experiments on colouring squares of planar graphs Proceedings of the 2nd international conference on Experimental and efficient algorithms, (15-32)
- Robbins S and Whitesides S On the reliability of triangle intersection in 3D Proceedings of the 2003 international conference on Computational science and its applications: PartIII, (923-930)
- Itoh T, Miyata K and Shimada K (2003). Generating Organic Textures with Controlled Anisotropy and Directionality, IEEE Computer Graphics and Applications, 23:3, (38-45), Online publication date: 1-May-2003.
- Jakobsson M, Leighton T, Micali S and Szydlo M Fractal Merkle tree representation and traversal Proceedings of the 2003 RSA conference on The cryptographers' track, (314-326)
- Feng Y, Mehta D and Yang H Constrained "Modern" Floorplanning Proceedings of the 2003 international symposium on Physical design, (128-135)
- Keim D, North S, Panse C and Schneidewind J (2003). Visualizing geographic information, Information Visualization, 2:1, (58-67), Online publication date: 1-Mar-2003.
- Karavelas M and Emiris I Root comparison techniques applied to computing the additively weighted Voronoi diagram Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms, (320-329)
- Kratsch D, McConnell R, Mehlhorn K and Spinrad J Certifying algorithms for recognizing interval graphs and permutation graphs Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms, (158-167)
- Mehlhorn K The reliable algorithmic software challenge RASC Computer Science in Perspective, (255-263)
- Icking C, Klein R, Köllner P and Ma L Java applets for the dynamic visualization of Voronoi diagrams Computer Science in Perspective, (191-205)
- Chatzigiannakis I, Nikoletseas S and Spirakis P (2003). Distributed communication algorithms for ad hoc mobile networks, Journal of Parallel and Distributed Computing, 63:1, (58-74), Online publication date: 1-Jan-2003.
- Erlebach T and Jansen K (2002). Implementation of approximation algorithms for weighted and unweighted edge-disjoint paths in bidirected trees, ACM Journal of Experimental Algorithmics, 7, (6), Online publication date: 31-Dec-2003.
- Mehlhorn K and Schäfer G (2002). Implementation of O(nmlogn) weighted matchings in general graphs, ACM Journal of Experimental Algorithmics, 7, (4), Online publication date: 31-Dec-2003.
- Chatzigiannakis I, Nikoletseas S and Spirakis P Smart dust protocols for local detection and propagation Proceedings of the second ACM international workshop on Principles of mobile computing, (9-16)
- Keim D, North S, Panse C and Schneidewind J Efficient Cartogram Generation Proceedings of the IEEE Symposium on Information Visualization (InfoVis'02)
- Bartlett P, Boucheron S and Lugosi G (2002). Model Selection and Error Estimation, Machine Language, 48:1-3, (85-113), Online publication date: 30-Sep-2002.
- Ilinkin I, Janardan R, Majhi J, Schwerdt J, Smid M and Sriram R (2002). A decomposition-based approach to layered manufacturing, Computational Geometry: Theory and Applications, 23:2, (117-151), Online publication date: 1-Sep-2002.
- Di Battista G, Didimo W, Patrignani M and Pizzonia M (2002). Drawing database schemas, Software—Practice & Experience, 32:11, (1065-1098), Online publication date: 1-Sep-2002.
- Kessler C and Bednarski A (2002). Optimal integrated code generation for clustered VLIW architectures, ACM SIGPLAN Notices, 37:7, (102-111), Online publication date: 17-Jul-2002.
- Codina J, Llosa J and González A A comparative study of modulo scheduling techniques Proceedings of the 16th international conference on Supercomputing, (97-106)
- Kessler C and Bednarski A Optimal integrated code generation for clustered VLIW architectures Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems, (102-111)
- Keyser J, Culver T, Foskey M, Krishnan S and Manocha D ESOLID---A System for Exact Boundary Evaluation Proceedings of the seventh ACM symposium on Solid modeling and applications, (23-34)
- Shaul H and Halperin D Improved construction of vertical decompositions of three-dimensional arrangements Proceedings of the eighteenth annual symposium on Computational geometry, (283-292)
- Flato E, Fogel E, Halperin D and Leiserowitz E Exact minkowski sums and applications Proceedings of the eighteenth annual symposium on Computational geometry, (273-274)
- Blanquero R and Carrizosa E (2002). A D.C. biobjective location model, Journal of Global Optimization, 23:2, (139-154), Online publication date: 1-Jun-2002.
- Brandes U and Willhalm T Visualization of bibliographic networks with a reshaped landscape metaphor Proceedings of the symposium on Data Visualisation 2002, (159-ff)
- Volbert K A simulation environment for ad hoc networks using sector subdivision Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing, (419-426)
- Brodal G, Fagerberg R and Jacob R Cache oblivious search trees via binary trees of small height Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms, (39-48)
- Zaroliagis C Implementations and experimental studies of dynamic graph algorithms Experimental algorithmics, (229-278)
- Spirakis P and Zaroliagis C Distributed algorithm engineering Experimental algorithmics, (197-228)
- Frigioni D, Miller T, Nanni U and Zaroliagis C (2001). An Experimental Study of Dynamic Algorithms for Transitive Closure, ACM Journal of Experimental Algorithmics, 6, (9-es), Online publication date: 31-Dec-2002.
- Stallmann M, Brglez F and Ghosh D (2001). Heuristics, Experimental Subjects, and Treatment Evaluation in Bigraph Crossing Minimization, ACM Journal of Experimental Algorithmics, 6, (8-es), Online publication date: 31-Dec-2002.
- Estivill-Castro V and Lee I Fast spatial clustering with different metrics and in the presence of obstacles Proceedings of the 9th ACM international symposium on Advances in geographic information systems, (142-147)
- Nanevski A, Blelloch G and Harper R (2001). Automatic generation of staged geometric predicates, ACM SIGPLAN Notices, 36:10, (217-228), Online publication date: 1-Oct-2001.
- Nanevski A, Blelloch G and Harper R Automatic generation of staged geometric predicates Proceedings of the sixth ACM SIGPLAN international conference on Functional programming, (217-228)
- Geismann N, Hemmer M and Schömer E The convex hull of ellipsoids Proceedings of the seventeenth annual symposium on Computational geometry, (321-322)
- Krishnan S, Foskey M, Culver T, Keyser J and Manocha D PRECISE Proceedings of the seventeenth annual symposium on Computational geometry, (274-283)
- Geismann N, Hemmer M and Schömer E Computing a 3-dimensional cell in an arrangement of quadrics Proceedings of the seventeenth annual symposium on Computational geometry, (264-273)
- Devillers O, Pion S and Teillaud M Walking in a triangulation Proceedings of the seventeenth annual symposium on Computational geometry, (106-114)
- Althaus E, Duchier D, Koller A, Mehlhorn K, Niehren J and Thiel S An efficient algorithm for the configuration problem of dominance graphs Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms, (815-824)
- Koller A, Mehlhorn K and Niehren J A polynomial-time fragment of dominance constraints Proceedings of the 38th Annual Meeting on Association for Computational Linguistics, (376-383)
- Collins G and Krandick W Multiprecision floating point addition Proceedings of the 2000 international symposium on Symbolic and algebraic computation, (71-77)
- van den Bercken J, Dittrich J and Seeger B (2000). javax.XXL, ACM SIGMOD Record, 29:2, (588), Online publication date: 1-Jun-2000.
- van den Bercken J, Dittrich J and Seeger B javax.XXL Proceedings of the 2000 ACM SIGMOD international conference on Management of data
- Agarwal P, Har-Peled S and Karia M Computing approximate shortest paths on convex polytopes Proceedings of the sixteenth annual symposium on Computational geometry, (270-279)
- Gross D and de Rougemont M Uniform generation in spatial constraint databases and applications (Extended abstract) Proceedings of the nineteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems, (254-259)
- Heber S, Stoye J, Hoheisel J and Vingron M Contig selection in physical mapping Proceedings of the fourth annual international conference on Computational molecular biology, (155-164)
- Schwerdt J, Smid M, Majhi J and Janardan R (1999). Computing the width of a three-dimensional point set, ACM Journal of Experimental Algorithmics, 4, (8-es), Online publication date: 31-Dec-2000.
- Erlebach T and Jansen K (1999). Efficient implementation of an optimal greedy algorithm for wavelength assignment in directed tree networks, ACM Journal of Experimental Algorithmics, 4, (4-es), Online publication date: 31-Dec-2000.
- Burnikel C, Fleischer R, Mehlhorn K and Schirra S Efficient exact geometric computation made easy Proceedings of the fifteenth annual symposium on Computational geometry, (341-350)
- Finkler U and Mehlhorn K Checking priority queues Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms, (901-902)
- Lupton R, Maley F and Young N Data collection for the Sloan Digital Sky Survey—a network-flow heuristic Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms, (296-303)
- Chiou C, Chang C, Chen S and Chang Y Circular-contour-based obstacle-aware macro placement 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), (172-177)
Index Terms
- LEDA: a platform for combinatorial and geometric computing
Recommendations
Implementation an Experimental Evaluation of Graph Connectivity Algorithms Using LEDA
WAE '99: Proceedings of the 3rd International Workshop on Algorithm EngineeringIn this paper we describe robust and efficient implementations of two graph connectivity algorithms. The implementations are based on the LEDA library of efficient data types and algorithms [18,19]. Moreover, we provide experimental evaluations of the ...
LEDA-SM Extending LEDA to Secondary Memory
WAE '99: Proceedings of the 3rd International Workshop on Algorithm EngineeringDuring the last years, many software libraries for in-core computation have been developed. Most internal memory algorithms perform very badly when used in an external memory setting. We introduce LEDA-SM that extends the LEDA-library [22] towards ...