Abstract
No abstract available.
Cited By
- Avanzini M, Barthe G, Grégoire B, Moser G and Vanoni G (2024). Hopping Proofs of Expectation-Based Properties: Applications to Skiplists and Security Proofs, Proceedings of the ACM on Programming Languages, 8:OOPSLA1, (784-809), Online publication date: 29-Apr-2024.
- Wu Z, Cheng Y, Eu S and Yao J (2024). Sign balances and promotion order of Young-Fibonacci tableaux, Discrete Mathematics, 347:2, Online publication date: 1-Feb-2024.
- Bender M, Conway A, Farach-Colton M, Kuszmaul W and Tagliavini G (2023). Iceberg Hashing: Optimizing Many Hash-Table Criteria at Once, Journal of the ACM, 70:6, (1-51), Online publication date: 31-Dec-2024.
- Perriello S, Barenghi A and Pelosi G (2023). Improving the Efficiency of Quantum Circuits for Information Set Decoding, ACM Transactions on Quantum Computing, 4:4, (1-40), Online publication date: 31-Dec-2024.
- Huang X and Ma T (2023). On the approximation of Euclidean SL via geometric method, Information Sciences: an International Journal, 648:C, Online publication date: 1-Nov-2023.
- Muraoka M, Bhattacharjee B, Merler M, Blackwood G, Li Y and Zhao Y Cross-Lingual Transfer of Large Language Model by Visually-Derived Supervision Toward Low-Resource Languages Proceedings of the 31st ACM International Conference on Multimedia, (3637-3646)
- Fan L, Yang Y, Wang F, Wang N and Zhang Z (2023). Super Sparse 3D Object Detection, IEEE Transactions on Pattern Analysis and Machine Intelligence, 45:10, (12490-12505), Online publication date: 1-Oct-2023.
- Morihata A (2023). When does 0–1 Principle Hold for Prefix Sums?, New Generation Computing, 41:3, (523-531), Online publication date: 1-Sep-2023.
- Branson L, Sutton A and Yan X Finding Antimagic Labelings of Trees by Evolutionary Search Proceedings of the 17th ACM/SIGEVO Conference on Foundations of Genetic Algorithms, (27-37)
- Iyengar K, Pal R and Dalal U (2023). A dual phase genetic algorithm for improved initial access in 5G millimeter wave communication, Physical Communication, 59:C, Online publication date: 1-Aug-2023.
- Chrobak M and Young N Classification via Two-Way Comparisons (Extended Abstract) Algorithms and Data Structures, (275-290)
- Böther M, Benson L, Klimovic A and Rabl T (2023). Analyzing Vectorized Hash Tables across CPU Architectures, Proceedings of the VLDB Endowment, 16:11, (2755-2768), Online publication date: 1-Jul-2023.
- Moghaddamfar M, Färber C, Lehner W and Kumar A KeRRaS: Sort-Based Database Query Processing on Wide Tables Using FPGAs Proceedings of the 19th International Workshop on Data Management on New Hardware, (1-9)
- Gou X, Zou L, Zhao C and Yang T (2023). Graph Stream Sketch: Summarizing Graph Streams With High Speed and Accuracy, IEEE Transactions on Knowledge and Data Engineering, 35:6, (5901-5914), Online publication date: 1-Jun-2023.
- Chen W and Fu A (2023). A grammatical calculus for peaks and runs of permutations, Journal of Algebraic Combinatorics: An International Journal, 57:4, (1139-1162), Online publication date: 1-Jun-2023.
- Banakar V, Wu K, Patel Y, Keeton K, Arpaci-Dusseau A and Arpaci-Dusseau R (2023). WiscSort: External Sorting for Byte-Addressable Storage, Proceedings of the VLDB Endowment, 16:9, (2103-2116), Online publication date: 1-May-2023.
- Do T and Graefe G (2022). Robust and Efficient Sorting with Offset-value Coding, ACM Transactions on Database Systems, 48:1, (1-23), Online publication date: 31-Mar-2023.
- Chen Y, Chen B and Wu Y (2023). On the Multiple Pattern String Matching in DNA Databases, SN Computer Science, 4:3, Online publication date: 23-Mar-2023.
- Fearnley J, Goldberg P, Hollender A and Savani R (2022). The Complexity of Gradient Descent: CLS = PPAD ∩ PLS, Journal of the ACM, 70:1, (1-74), Online publication date: 28-Feb-2023.
- Antognazza F, Barenghi A, Pelosi G and Susella R A Flexible ASIC-Oriented Design for a Full NTRU Accelerator Proceedings of the 28th Asia and South Pacific Design Automation Conference, (591-597)
- Do T, Graefe G and Naughton J (2022). Efficient Sorting, Duplicate Removal, Grouping, and Aggregation, ACM Transactions on Database Systems, 47:4, (1-35), Online publication date: 31-Dec-2022.
- Lonati V, Brodnik A, Bell T, Csizmadia A, De Mol L, Hickman H, Keane T, Mirolo C and Monga M What We Talk About When We Talk About Programs Proceedings of the 2022 Working Group Reports on Innovation and Technology in Computer Science Education, (117-164)
- Chrobak M, Golin M, Munro J and Young N (2022). On Huang and Wong’s algorithm for generalized binary split trees, Acta Informatica, 59:6, (687-708), Online publication date: 1-Dec-2022.
- Duch A, Martínez C, Pons M and Roura S Median and Hybrid Median K-Dimensional Trees LATIN 2022: Theoretical Informatics, (38-53)
- Weideman N, Wang H, Kann T, Zahabizadeh S, Wu W, Tandon R, Mirkovic J and Hauser C Harm-DoS: Hash Algorithm Replacement for Mitigating Denial-of-Service Vulnerabilities in Binary Executables Proceedings of the 25th International Symposium on Research in Attacks, Intrusions and Defenses, (276-291)
- Liu A Icepool Proceedings of the Eighteenth AAAI Conference on Artificial Intelligence and Interactive Digital Entertainment, (258-265)
- Pande M, Kakkar V, Bansal M, Kumar S, Sharma C, Malhotra H and Mehta P Learning-to-Spell: Weak Supervision based Query Correction in E-Commerce Search with Small Strong Labels Proceedings of the 31st ACM International Conference on Information & Knowledge Management, (3431-3440)
- Fernandez-Amoros D, Heradio R, Mayr-Dorn C and Egyed A Scalable Sampling of Highly-Configurable Systems: Generating Random Instances of the Linux Kernel Proceedings of the 37th IEEE/ACM International Conference on Automated Software Engineering, (1-12)
- Zhou T, Tian R, Ashraf R, Gioiosa R, Kestor G and Sarkar V ReACT Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, (1-13)
- Lienen C and Platzner M (2021). Design of Distributed Reconfigurable Robotics Systems with ReconROS, ACM Transactions on Reconfigurable Technology and Systems, 15:3, (1-20), Online publication date: 30-Sep-2022.
- Porumbel D (2022). Projective Cutting-Planes for Robust Linear Programming and Cutting Stock Problems, INFORMS Journal on Computing, 34:5, (2736-2753), Online publication date: 1-Sep-2022.
- Diffie W and Hellman M New Directions in Cryptography Democratizing Cryptography, (365-390)
- Ruzankin P (2022). A Fast Parallelizable Algorithm for Constructing Balanced Binary Search Trees, SN Computer Science, 3:5, Online publication date: 4-Aug-2022.
- Schweikardt N, Segoufin L and Vigny A (2022). Enumeration for FO Queries over Nowhere Dense Graphs, Journal of the ACM, 69:3, (1-37), Online publication date: 30-Jun-2022.
- Elmasry A and Katajainen J (2022). Regular numeral systems for data structures, Acta Informatica, 59:2-3, (245-281), Online publication date: 1-Jun-2022.
- Peters C, Kuth B and Meyer Q (2022). Permutation Coding for Vertex-Blend Attribute Compression, Proceedings of the ACM on Computer Graphics and Interactive Techniques, 5:1, (1-16), Online publication date: 4-May-2022.
- Xu J and Xia Z An Optimization Meta-heuristic Algorithm Based on Segment Routing Proceedings of the 2022 7th International Conference on Intelligent Information Technology, (1-6)
- Chrobak M, Golin M, Munro J and Young N (2021). A Simple Algorithm for Optimal Search Trees with Two-way Comparisons, ACM Transactions on Algorithms, 18:1, (1-11), Online publication date: 31-Jan-2022.
- Grussler C and Giselsson P (2022). Efficient Proximal Mapping Computation for Low-Rank Inducing Norms, Journal of Optimization Theory and Applications, 192:1, (168-194), Online publication date: 1-Jan-2022.
- Arroyuelo D, Cánovas R, Fischer J, Köppl D, Löbel M, Navarro G and Raman R (2021). Engineering Practical Lempel-Ziv Tries, ACM Journal of Experimental Algorithmics, 26, (1-47), Online publication date: 31-Dec-2022.
- Cong K, Eldefrawy K and Smart N Optimizing Registration Based Encryption Cryptography and Coding, (129-157)
- Myung K, Kim S, Yeom H and Park J (2021). Efficient and Scalable External Sort Framework for NVMe SSD, IEEE Transactions on Computers, 70:12, (2211-2217), Online publication date: 1-Dec-2021.
- Bonafini M and Schmitzer B (2021). Domain decomposition for entropy regularized optimal transport, Numerische Mathematik, 149:4, (819-870), Online publication date: 1-Dec-2021.
- Araki T, Furukawa J, Ohara K, Pinkas B, Rosemarin H and Tsuchida H Secure Graph Analysis at Scale Proceedings of the 2021 ACM SIGSAC Conference on Computer and Communications Security, (610-629)
- Arman A and Loguinov D (2022). Origami, Proceedings of the VLDB Endowment, 15:2, (259-271), Online publication date: 1-Oct-2021.
- Yao Y (2021). The geometry of three-way decision, Applied Intelligence, 51:9, (6298-6325), Online publication date: 1-Sep-2021.
- Lebedev A and Deppe C Non-Adaptive and Adaptive Two-Sided Search with Fast Objects 2021 IEEE International Symposium on Information Theory (ISIT), (3220-3224)
- Kobayashi R, Miura K, Fujita N, Boku T and Amagasa T A Sorting Library for FPGA Implementation in OpenCL Programming Proceedings of the 11th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, (1-6)
- Foufoulas Y, Sidirourgos L, Stamatogiannakis E and Ioannidis Y Adaptive Compression for Fast Scans on String Columns Proceedings of the 2021 International Conference on Management of Data, (554-562)
- Dudík M, Wang X, Pennock D and Rothschild D Log-time Prediction Markets for Interval Securities Proceedings of the 20th International Conference on Autonomous Agents and MultiAgent Systems, (465-473)
- Lemiesz J (2021). On the algebra of data sketches, Proceedings of the VLDB Endowment, 14:9, (1655-1667), Online publication date: 1-May-2021.
- Ghosh P, Krishnamoorthy S and Kalyanaraman A (2021). PaKman: A Scalable Algorithm for Generating Genomic Contigs on Distributed Memory Machines, IEEE Transactions on Parallel and Distributed Systems, 32:5, (1191-1209), Online publication date: 1-May-2021.
- Mendelson G, Vargaftik S, Barabash K, Lorenz D, Keslassy I and Orda A (2021). AnchorHash: A Scalable Consistent Hash, IEEE/ACM Transactions on Networking, 29:2, (517-528), Online publication date: 1-Apr-2021.
- Zhang L, Kekatos V and Giannakis G A generalized Frank-wolfe approach to decentralized electric vehicle charging 2016 IEEE 55th Conference on Decision and Control (CDC), (1105-1111)
- Revere R and Blustein J Transhierarchy Proceedings of the 3rd Workshop on Human Factors in Hypertext, (1-7)
- Žilinskas A and Litvinas L (2020). A hybrid of the simplicial partition-based Bayesian global search with the local descent, Soft Computing - A Fusion of Foundations, Methodologies and Applications, 24:23, (17601-17608), Online publication date: 1-Dec-2020.
- Asai H Palmtrie Proceedings of the 16th International Conference on emerging Networking EXperiments and Technologies, (323-335)
- Kim M and Kim S Modifications using Circular Shift for a Better Bloom Filter Proceedings of the International Conference on Research in Adaptive and Convergent Systems, (149-154)
- Al Jawarneh I, Bellavista P, Corradi A, Foschini L and Montanari R (2020). Big Spatial Data Management for the Internet of Things: A Survey, Journal of Network and Systems Management, 28:4, (990-1035), Online publication date: 1-Oct-2020.
- Durán J (2020). What is a Simulation Model?, Minds and Machines, 30:3, (301-323), Online publication date: 1-Sep-2020.
- Biskup J and Preuß M Can We Probabilistically Generate Uniformly Distributed Relation Instances Efficiently? Advances in Databases and Information Systems, (75-89)
- Moreno P, Areias M and Rocha R A Compression-Based Design for Higher Throughput in a Lock-Free Hash Map Euro-Par 2020: Parallel Processing, (458-473)
- Petersohn D, Macke S, Xin D, Ma W, Lee D, Mo X, Gonzalez J, Hellerstein J, Joseph A and Parameswaran A (2020). Towards scalable dataframe systems, Proceedings of the VLDB Endowment, 13:12, (2033-2046), Online publication date: 1-Aug-2020.
- Farrow E, Li J, Zaki F and Lall A Accessible Streaming Algorithms for the Chi-Square Test Proceedings of the 32nd International Conference on Scientific and Statistical Database Management, (1-12)
- Maghsudi S and van der Schaar M (2020). A Non-Stationary Bandit-Learning Approach to Energy-Efficient Femto-Caching With Rateless-Coded Transmission, IEEE Transactions on Wireless Communications, 19:7, (5040-5056), Online publication date: 1-Jul-2020.
- Breitner J and Skorski M Explicit Renyi Entropy for Hidden Markov Models 2020 IEEE International Symposium on Information Theory (ISIT), (2303-2308)
- Eberl M, Haslbeck M and Nipkow T (2020). Verified Analysis of Random Binary Tree Structures, Journal of Automated Reasoning, 64:5, (879-910), Online publication date: 1-Jun-2020.
- Salah A, Li K, Liao Q, Hashem M, Li Z, Chronopoulos A and Zomaya A (2020). A Time-space Efficient Algorithm for Parallel k-way In-place Merging based on Sequence Partitioning and Perfect Shuffle, ACM Transactions on Parallel Computing, 7:2, (1-23), Online publication date: 31-May-2020.
- Gilad E, Bortnikov E, Braginsky A, Gottesman Y, Hillel E, Keidar I, Moscovici N and Shahout R EvenDB Proceedings of the Fifteenth European Conference on Computer Systems, (1-16)
- Hanel C, Arman A, Xiao D, Keech J and Loguinov D Vortex: Extreme-Performance Memory Abstractions for Data-Intensive Streaming Applications Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, (623-638)
- Cormode G and Jowhari H (2019). L Samplers and Their Applications, ACM Computing Surveys, 52:1, (1-31), Online publication date: 31-Jan-2020.
- Zhao R, Steinfeld R and Sakzad A (2020). FACCT: FAst, Compact, and Constant-Time Discrete Gaussian Sampler over Integers, IEEE Transactions on Computers, 69:1, (126-137), Online publication date: 1-Jan-2020.
- Jr. G and Tristan J (2019). Using Butterfly-patterned Partial Sums to Draw from Discrete Distributions, ACM Transactions on Parallel Computing, 6:4, (1-30), Online publication date: 26-Dec-2019.
- Edelkamp S and Weiß A (2019). BlockQuicksort, ACM Journal of Experimental Algorithmics, 24, (1-22), Online publication date: 17-Dec-2019.
- Hucke D Approximation Ratios of , and on Unary Strings String Processing and Information Retrieval, (3-15)
- Björklund A, Kaski P and Williams R (2019). Generalized Kakeya sets for polynomial evaluation and faster computation of fermionants, Algorithmica, 81:10, (4010-4028), Online publication date: 1-Oct-2019.
- Lu K and Ortega A (2019). Fast Graph Fourier Transforms Based on Graph Symmetry and Bipartition, IEEE Transactions on Signal Processing, 67:18, (4855-4869), Online publication date: 15-Sep-2019.
- Sioutas S, Vonitsanos G, Zacharatos N and Zaroliagis C Scalable and Hierarchical Distributed Data Structures for Efficient Big Data Management Algorithmic Aspects of Cloud Computing, (122-160)
- Hiari O and Mesleh R Generalized Receive Quadrature Space Modulation Techniques: Hardware Models and Analysis 2019 IEEE 30th Annual International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), (1-6)
- Wang L, Yang T, Wang H, Jiang J, Cai Z, Cui B and Li X (2019). Fine-grained probability counting for cardinality estimation of data streams, World Wide Web, 22:5, (2065-2081), Online publication date: 1-Sep-2019.
- Shatnawi A, AlZahouri Y, Shehab M, Jararweh Y and Al-Ayyoub M (2019). Toward a new approach for sorting extremely large data files in the big data era, Cluster Computing, 22:3, (819-828), Online publication date: 1-Sep-2019.
- Selin E and Viktor H Improved Programming-Language Independent MapReduce on Shared-Memory Systems Big Data Analytics and Knowledge Discovery, (206-220)
- Maier T, Sanders P and Walzer S (2019). Dynamic Space Efficient Hashing, Algorithmica, 81:8, (3162-3185), Online publication date: 1-Aug-2019.
- Shahrzad H, Hodjat B, Dollé C, Denissov A, Lau S, Goodhew D, Dyer J and Miikkulainen R Enhanced optimization with composite objectives and novelty pulsation Proceedings of the Genetic and Evolutionary Computation Conference Companion, (231-232)
- Rosenfeld V, Breß S, Zeuch S, Rabl T and Markl V Performance Analysis and Automatic Tuning of Hash Aggregation on GPUs Proceedings of the 15th International Workshop on Data Management on New Hardware, (1-11)
- Cheraghchi M (2019). Expressions for the Entropy of Basic Discrete Distributions, IEEE Transactions on Information Theory, 65:7, (3999-4009), Online publication date: 1-Jul-2019.
- Ghosh P and Kalyanaraman A (2019). FastEtch, IEEE/ACM Transactions on Computational Biology and Bioinformatics, 16:4, (1091-1106), Online publication date: 1-Jul-2019.
- Elmasry A, Kahla M, Ahdy F and Hashem M (2019). Red---black trees with constant update time, Acta Informatica, 56:5, (391-404), Online publication date: 1-Jul-2019.
- Zuo P, Hua Y and Wu J (2019). Level Hashing, ACM Transactions on Storage, 15:2, (1-30), Online publication date: 26-Jun-2019.
- Dumitrescu A Finding a Mediocre Player Algorithms and Complexity, (212-223)
- Song Y, Yang S and Lei J (2019). ParaCells, IEEE/ACM Transactions on Computational Biology and Bioinformatics, 16:3, (994-1006), Online publication date: 1-May-2019.
- Tiloca M, Guglielmo D, Dini G, Anastasi G and Das S (2018). DISH, ACM Transactions on Sensor Networks, 15:1, (1-28), Online publication date: 28-Feb-2019.
- Banerjee I, Richards D and Shinkar I Sorting Networks on Restricted Topologies SOFSEM 2019: Theory and Practice of Computer Science, (54-66)
- Gołębiewski Z, Magner A and Szpankowski W (2018). Entropy and Optimal Compression of Some General Plane Trees, ACM Transactions on Algorithms, 15:1, (1-23), Online publication date: 25-Jan-2019.
- Chan T, Chung K, Maggs B and Shi E Foundations of differentially oblivious algorithms Proceedings of the Thirtieth Annual ACM-SIAM Symposium on Discrete Algorithms, (2448-2467)
- Lin W, Shi E and Xie T Can we overcome the n log n barrier for oblivious sorting? Proceedings of the Thirtieth Annual ACM-SIAM Symposium on Discrete Algorithms, (2419-2438)
- Buss S and Knop A Strategies for stable merge sorting Proceedings of the Thirtieth Annual ACM-SIAM Symposium on Discrete Algorithms, (1272-1290)
- Dumitrescu A and Mandal R New lower bounds for the number of pseudoline arrangements Proceedings of the Thirtieth Annual ACM-SIAM Symposium on Discrete Algorithms, (410-425)
- Ai Z, Zhang M, Wu Y, Qian X, Chen K and Zheng W (2018).
Clip : A Disk I/O Focused Parallel Out-of-Core Graph Processing System, IEEE Transactions on Parallel and Distributed Systems, 30:1, (45-62), Online publication date: 1-Jan-2019. - Dibbelt J, Pajor T, Strasser B and Wagner D (2018). Connection Scan Algorithm, ACM Journal of Experimental Algorithmics, 23, (1-56), Online publication date: 15-Nov-2018.
- Zuo P, Hua Y and Wu J Write-optimized and high-performance hashing index scheme for persistent memory Proceedings of the 13th USENIX conference on Operating Systems Design and Implementation, (461-476)
- Noshadian S, Ebrahimzade A and Kazemitabar S (2018). Optimizing chaos based image encryption, Multimedia Tools and Applications, 77:19, (25569-25590), Online publication date: 1-Oct-2018.
- Li T, Horkoff J and Mylopoulos J (2018). Holistic security requirements analysis for socio-technical systems, Software and Systems Modeling (SoSyM), 17:4, (1253-1285), Online publication date: 1-Oct-2018.
- Lee D and Joe H (2018). Efficient computation of multivariate empirical distribution functions at the observed values, Computational Statistics, 33:3, (1413-1428), Online publication date: 1-Sep-2018.
- Oberhauser J Store Buffer Reduction in the Presence of Mixed-Size Accesses and Misalignment Verified Software. Theories, Tools, and Experiments, (322-344)
- Kotthoff L, Fréchette A, Michalak T, Rahwan T, Hoos H and Leyton-Brown K Quantifying algorithmic improvements over time Proceedings of the 27th International Joint Conference on Artificial Intelligence, (5165-5171)
- Schulz L, Broneske D and Saake G (2018). An eight-dimensional systematic evaluation of optimized search algorithms on modern processors, Proceedings of the VLDB Endowment, 11:11, (1550-1562), Online publication date: 1-Jul-2018.
- Liu S and Han J (2018). Toward Energy-Efficient Stochastic Circuits Using Parallel Sobol Sequences, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26:7, (1326-1339), Online publication date: 1-Jul-2018.
- Cao W, Zhang Y, Yang Y, Li D, Zhou Y and Shi W (2018). Constructing quantum Hash functions based on quantum walks on Johnson graphs, Quantum Information Processing, 17:7, (1-11), Online publication date: 1-Jul-2018.
- Arz J and Fischer J (2018). Lempel---Ziv-78 Compressed String Dictionaries, Algorithmica, 80:7, (2012-2047), Online publication date: 1-Jul-2018.
- Bonnet É and Rzążewski P Optimality Program in Segment and String Graphs Graph-Theoretic Concepts in Computer Science, (79-90)
- Cheraghchi M Expressions for the Entropy of Binomial-Type Distributions 2018 IEEE International Symposium on Information Theory (ISIT), (2520-2524)
- Karsin B, Weichert V, Casanova H, Iacono J and Sitchinava N Analysis-driven Engineering of Comparison-based Sorting Algorithms on GPUs Proceedings of the 2018 International Conference on Supercomputing, (86-95)
- Young J and Walkingshaw E A Domain Analysis of Data Structure and Algorithm Explanations in the Wild Proceedings of the 49th ACM Technical Symposium on Computer Science Education, (870-875)
- Chan T, Guo Y, Lin W and Shi E Cache-oblivious and data-oblivious sorting and applications Proceedings of the Twenty-Ninth Annual ACM-SIAM Symposium on Discrete Algorithms, (2201-2220)
- Kempe D, Schulman L and Tamuz O Quasi-regular sequences and optimal schedules for security games Proceedings of the Twenty-Ninth Annual ACM-SIAM Symposium on Discrete Algorithms, (1625-1644)
- Holm J, Rotenberg E and Thorup M Dynamic bridge-finding in õ(log2 n) amortized time Proceedings of the Twenty-Ninth Annual ACM-SIAM Symposium on Discrete Algorithms, (35-52)
- Acharya J, Falahatgar M, Jafarpour A, Orlitsky A and Suresh A (2018). Maximum selection and sorting with adversarial comparators, The Journal of Machine Learning Research, 19:1, (2427-2457), Online publication date: 1-Jan-2018.
- Marszałek Z, Woźniak M, Połap D and Szymanski J (2018). Fully Flexible Parallel Merge Sort for Multicore Architectures, Complexity, 2018, Online publication date: 1-Jan-2018.
- Magner A and Szpankowski W (2018). Profiles of PATRICIA Tries, Algorithmica, 80:1, (331-397), Online publication date: 1-Jan-2018.
- Yu H, Hsieh C, Lei Q and Dhillon I A greedy approach for budgeted maximum inner product search Proceedings of the 31st International Conference on Neural Information Processing Systems, (5459-5468)
- Hagiwara M and Kong J (2017). Consolidation for compact constraints and Kendall tau LP decodable permutation codes, Designs, Codes and Cryptography, 85:3, (483-521), Online publication date: 1-Dec-2017.
- Eppstein D, Goodrich M, Korkmaz D and Mamano N Defining Equitable Geographic Districts in Road Networks via Stable Matching Proceedings of the 25th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems, (1-4)
- Brierley S (2017). Efficient implementation of quantum circuits with limited qubit interactions, Quantum Information & Computation, 17:13-14, (1096-1104), Online publication date: 1-Nov-2017.
- Laga A, Boukhobza J, Singhoff F and Koskas M (2017). MONTRES : Merge ON-the-Run External Sorting Algorithm for Large Data Volumes on SSD Based Storage Systems, IEEE Transactions on Computers, 66:10, (1689-1702), Online publication date: 1-Oct-2017.
- Wang X, Tian C, Duan Z and Zhao L (2017). MSVL, Frontiers of Computer Science: Selected Publications from Chinese Universities, 11:5, (762-785), Online publication date: 1-Oct-2017.
- Malajovich G (2017). Computing Mixed Volume and All Mixed Cells in Quermassintegral Time, Foundations of Computational Mathematics, 17:5, (1293-1334), Online publication date: 1-Oct-2017.
- Qiu L, Liu Z, C. F. Pereira G and Seo H (2017). Implementing RSA for sensor nodes in smart cities, Personal and Ubiquitous Computing, 21:5, (807-813), Online publication date: 1-Oct-2017.
- Zellmann S and Lang U C++ compile time polymorphism for ray tracing Proceedings of the conference on Vision, Modeling and Visualization, (129-136)
- Zhong X and Ou J (2017). Parallel machine scheduling with restricted job rejection, Theoretical Computer Science, 690:C, (1-11), Online publication date: 22-Aug-2017.
- Shao J, Zhang J and Hu M Parameter Optimization Analysis on a Linear-time Algorithm of the Selection Problem Proceedings of the 2017 2nd International Conference on Multimedia Systems and Signal Processing, (11-16)
- Maystre L and Grossglauser M Just sort it! a simple and effective approach to active preference learning Proceedings of the 34th International Conference on Machine Learning - Volume 70, (2344-2353)
- Edelkamp S, Elmasry A and Katajainen J (2017). Optimizing Binary Heaps, Theory of Computing Systems, 61:2, (606-636), Online publication date: 1-Aug-2017.
- Hansen T, Kaplan H, Tarjan R and Zwick U (2017). Hollow Heaps, ACM Transactions on Algorithms, 13:3, (1-27), Online publication date: 31-Jul-2017.
- Ylitie H, Karras T and Laine S Efficient incoherent ray traversal on GPUs through compressed wide BVHs Proceedings of High Performance Graphics, (1-13)
- Ben-David N and Blelloch G Analyzing Contention and Backoff in Asynchronous Shared Memory Proceedings of the ACM Symposium on Principles of Distributed Computing, (53-62)
- Guarnieri M, Tsankov P, Buchs T, Torabi Dashti M and Basin D Test execution checkpointing for web applications Proceedings of the 26th ACM SIGSOFT International Symposium on Software Testing and Analysis, (203-214)
- Mitzenmacher M (2017). Technical Perspective: Building a better hash function, Communications of the ACM, 60:7, (93-93), Online publication date: 26-Jun-2017.
- Osvald L and Rompf T Flexible data views: design and implementation Proceedings of the 4th ACM SIGPLAN International Workshop on Libraries, Languages, and Compilers for Array Programming, (25-32)
- Kanda S, Morita K and Fuketa M (2017). Compressed double-array tries for string dictionaries supporting fast lookup, Knowledge and Information Systems, 51:3, (1023-1042), Online publication date: 1-Jun-2017.
- Bacher A, Bodini O, Hwang H and Tsai T (2017). Generating Random Permutations by Coin Tossing, ACM Transactions on Algorithms, 13:2, (1-43), Online publication date: 29-May-2017.
- Yuan H, Crowley P and Song T Enhancing Scalable Name-Based Forwarding Proceedings of the Symposium on Architectures for Networking and Communications Systems, (60-69)
- Chern H, Fuchs M, Hwang H and Neininger R (2017). Dependence and phase changes in random m-ary search trees, Random Structures & Algorithms, 50:3, (353-379), Online publication date: 1-May-2017.
- Zhu J, Potti N, Saurabh S and Patel J (2017). Looking ahead makes query plans robust, Proceedings of the VLDB Endowment, 10:8, (889-900), Online publication date: 1-Apr-2017.
- Tan R, van Leeuwen E and van Leeuwen J (2017). Shortcutting directed and undirected networks with a degree constraint, Discrete Applied Mathematics, 220:C, (91-117), Online publication date: 31-Mar-2017.
- Bund J, Lenzen C and Medina M Near-optimal metastability-containing sorting networks Proceedings of the Conference on Design, Automation & Test in Europe, (226-231)
- Wasson M, Milicevic M, Draper S and Gulak G Hardware-based linear programming decoding via the alternating direction method of multipliers 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), (1143-1147)
- Bundala D, Codish M, Cruz-Filipe L, Schneider-Kamp P and Závodný J (2017). Optimal-depth sorting networks, Journal of Computer and System Sciences, 84:C, (185-204), Online publication date: 1-Mar-2017.
- Lee S, Lim K, Song H, Nam B and Noh S WORT Proceedings of the 15th Usenix Conference on File and Storage Technologies, (257-270)
- Harvey D, Hoeven J and Lecerf G (2017). Faster Polynomial Multiplication over Finite Fields, Journal of the ACM, 63:6, (1-23), Online publication date: 9-Feb-2017.
- Zervakis L, Tryfonopoulos C, Skiadopoulos S and Koubarakis M (2017). Query Reorganization Algorithms for Efficient Boolean Information Filtering, IEEE Transactions on Knowledge and Data Engineering, 29:2, (418-432), Online publication date: 1-Feb-2017.
- Ehlers T (2017). Merging almost sorted sequences yields a 24-sorter, Information Processing Letters, 118:C, (17-20), Online publication date: 1-Feb-2017.
- Fray K, Morgan K, Wirth A and Zobel J Practical adaptive search trees with performance bounds Proceedings of the Australasian Computer Science Week Multiconference, (1-8)
- Chiu C, Liou Y and Prayoonwong A (2016). Approximate Asymmetric Search for Binary Embedding Codes, ACM Transactions on Multimedia Computing, Communications, and Applications, 13:1, (1-25), Online publication date: 17-Jan-2017.
- Kang I, Kim H, Kim H and Hanzo L A reduced-complexity demapping algorithm for gray-labeled PSK constellations 2017 14th IEEE Annual Consumer Communications & Networking Conference (CCNC), (911-915)
- Kumar M, Meena J, Tiwari S, Vardhan M and Mishra K (2017). Privacy preserving, verifiable and efficient outsourcing algorithm for regression analysis to a malicious cloud, Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology, 32:5, (3413-3427), Online publication date: 1-Jan-2017.
- (2017). A new neural unsupervised classification approach using amended competitive Hebbian learning, International Journal of Bioinformatics Research and Applications, 13:1, (1-21), Online publication date: 1-Jan-2017.
- Navarro G and Nekrich Y (2017). Time-Optimal Top-$k$ Document Retrieval, SIAM Journal on Computing, 46:1, (80-113), Online publication date: 1-Jan-2017.
- Lin S and Xie Z (2017). A Jacobi_PCG solver for sparse linear systems on multi-GPU cluster, The Journal of Supercomputing, 73:1, (433-454), Online publication date: 1-Jan-2017.
- Seo J and Kim M (2017). Efficient processing of video containment queries by using composite ordinal features, Multimedia Tools and Applications, 76:2, (2891-2910), Online publication date: 1-Jan-2017.
- Bingmann T, Eberle A and Sanders P (2017). Engineering Parallel String Sorting, Algorithmica, 77:1, (235-286), Online publication date: 1-Jan-2017.
- Kärkkäinen J, Kempa D and Piaźtkowski M (2016). Tighter bounds for the sum of irreducible LCP values, Theoretical Computer Science, 656:PB, (265-278), Online publication date: 20-Dec-2016.
- Urquiza-Aguiar L, Tripp-Barba C and Romero Muir Á (2016). Mitigation of packet duplication in VANET unicast protocols, Ad Hoc Networks, 52:C, (63-77), Online publication date: 1-Dec-2016.
- Viitanen T, Koskela M, Jääskeläinen P and Takala J Multi bounding volume hierarchies for ray tracing pipelines SIGGRAPH ASIA 2016 Technical Briefs, (1-4)
- Orsini C, King A, Giordano D, Giotsas V and Dainotti A BGPStream Proceedings of the 2016 Internet Measurement Conference, (429-444)
- Chicoisne R and Ordóñez F Risk Averse Stackelberg Security Games with Quantal Response 7th International Conference on Decision and Game Theory for Security - Volume 9996, (83-100)
- Ghosh A, Qin S, Lee J and Wang G (2016). PLAT, Computational Intelligence and Neuroscience, 2016, (1), Online publication date: 1-Nov-2016.
- da Silva E and Pedrini H (2016). Connected-component labeling based on hypercubes for memory constrained scenarios, Expert Systems with Applications: An International Journal, 61:C, (272-281), Online publication date: 1-Nov-2016.
- Bagno E, Biagioli R, Novick M and Woo A (2016). Depth in classical Coxeter groups, Journal of Algebraic Combinatorics: An International Journal, 44:3, (645-676), Online publication date: 1-Nov-2016.
- Agostini E, Bernaschi M, Vellucci M and Vollero L (2016). OpenCAPWAP v2.0, Networks, 26:6, (537-552), Online publication date: 1-Nov-2016.
- Klein S and Shapira D (2016). Random access to Fibonacci encoded files, Discrete Applied Mathematics, 212:C, (115-128), Online publication date: 30-Oct-2016.
- Ghosh P and Kalyanaraman A A Fast Sketch-based Assembler for Genomes Proceedings of the 7th ACM International Conference on Bioinformatics, Computational Biology, and Health Informatics, (241-250)
- Nyang D and Shin D (2016). Recyclable Counter With Confinement for Real-Time Per-Flow Measurement, IEEE/ACM Transactions on Networking, 24:5, (3191-3203), Online publication date: 1-Oct-2016.
- (2016). Even faster integer multiplication, Journal of Complexity, 36:C, (1-30), Online publication date: 1-Oct-2016.
- Couceiro M, Marichal J and Teheux B (2016). Relaxations of associativity and preassociativity for variadic functions, Fuzzy Sets and Systems, 299:C, (89-97), Online publication date: 15-Sep-2016.
- Moarref M, Ornik M and Broucke M (2016). An obstruction to solvability of the reach control problem using affine feedback, Automatica (Journal of IFAC), 71:C, (229-236), Online publication date: 1-Sep-2016.
- Piotrów M (2016). Faster merging networks with a small constant period, Theoretical Computer Science, 640:C, (20-40), Online publication date: 9-Aug-2016.
- Ou J, Zhong X and Li C (2016). Faster algorithms for single machine scheduling with release dates and rejection, Information Processing Letters, 116:8, (503-507), Online publication date: 1-Aug-2016.
- Dorn B and Krüger D (2016). On the hardness of bribery variants in voting with CP-nets, Annals of Mathematics and Artificial Intelligence, 77:3-4, (251-279), Online publication date: 1-Aug-2016.
- Kanza Y and Yaari H (2016). External sorting on flash storage, The VLDB Journal — The International Journal on Very Large Data Bases, 25:4, (495-518), Online publication date: 1-Aug-2016.
- Diekert V and Weiβ A (2016). QuickHeapsort, Theory of Computing Systems, 59:2, (209-230), Online publication date: 1-Aug-2016.
- Thiel S, Butler G and Thiel L Improving GraphChi for Large Graph Processing Proceedings of the 20th International Database Engineering & Applications Symposium, (135-141)
- Prodinger H (2016). Batcher's odd-even exchange revisited, Theoretical Computer Science, 636:C, (95-100), Online publication date: 11-Jul-2016.
- Ziegler K (2016). Tame decompositions and collisions, Journal of Symbolic Computation, 75:C, (244-268), Online publication date: 1-Jul-2016.
- Chen S, Jiang S, He B and Tang X A Study of Sorting Algorithms on Approximate Memory Proceedings of the 2016 International Conference on Management of Data, (647-662)
- Áfra A, Benthin C, Wald I and Munkberg J Local shading coherence extraction for SIMD-efficient path tracing on CPUs Proceedings of High Performance Graphics, (119-128)
- Duan J, Zhou J and Li Y Secure and Verifiable Outsourcing of Nonnegative Matrix Factorization (NMF) Proceedings of the 4th ACM Workshop on Information Hiding and Multimedia Security, (63-68)
- Wu G and Tompa F A Space-Efficient Data Structure for Fast Access Control in ECM Systems Proceedings of the 21st ACM on Symposium on Access Control Models and Technologies, (191-201)
- Buzaglo S, Yaakobi E, Etzion T and Bruck J (2016). Systematic Error-Correcting Codes for Permutations and Multi-Permutations, IEEE Transactions on Information Theory, 62:6, (3113-3124), Online publication date: 1-Jun-2016.
- Sangat P, Indrawan-Santiago M, Taniar D, Oh B and Reichl P (2016). Processing High-volume Geospatial Data, Procedia Computer Science, 80:C, (2221-2225), Online publication date: 1-Jun-2016.
- D'Argenio P, Hartmanns A, Legay A and Sedwards S Statistical Approximation of Optimal Schedulers for Probabilistic Timed Automata Proceedings of the 12th International Conference on Integrated Formal Methods - Volume 9681, (99-114)
- Sinha A, Kar D and Tambe M Learning Adversary Behavior in Security Games Proceedings of the 2016 International Conference on Autonomous Agents & Multiagent Systems, (214-222)
- (2016). Reconstructing binary matrices with timetabling constraints, Journal of Discrete Algorithms, 38:C, (20-31), Online publication date: 1-May-2016.
- Codish M, Cruz-Filipe L, Frank M and Schneider-Kamp P (2016). Sorting nine inputs requires twenty-five comparisons, Journal of Computer and System Sciences, 82:3, (551-563), Online publication date: 1-May-2016.
- Clément J, Fill J, Nguyen Thi T and Vallée B (2016). Towards a Realistic Analysis of the QuickSelect Algorithm, Theory of Computing Systems, 58:4, (528-578), Online publication date: 1-May-2016.
- Capannini G and Larsson T Efficient collision culling by a succinct bi-dimensional sweep and Prune algorithm Proceedings of the 32nd Spring Conference on Computer Graphics, (25-32)
- Buzaglo S and Yaakobi E (2016). On the Capacity of Constrained Permutation Codes for Rank Modulation, IEEE Transactions on Information Theory, 62:4, (1649-1666), Online publication date: 1-Apr-2016.
- Vallentin M, Paxson V and Sommer R VAST Proceedings of the 13th Usenix Conference on Networked Systems Design and Implementation, (345-362)
- Moreno C, Kauffman S and Fischmeister S Efficient program tracing and monitoring through power consumption Proceedings of the 2016 Conference on Design, Automation & Test in Europe, (1556-1561)
- Dziemiańczuk M (2016). On directed lattice paths with vertical steps, Discrete Mathematics, 339:3, (1116-1139), Online publication date: 6-Mar-2016.
- Martínez-Prieto M, Brisaboa N, Cánovas R, Claude F and Navarro G (2016). Practical compressed string dictionaries, Information Systems, 56:C, (73-108), Online publication date: 1-Mar-2016.
- Bereczky N, Duch A, Németh K and Roura S (2016). Quad-kd trees, Theoretical Computer Science, 616:C, (126-140), Online publication date: 22-Feb-2016.
- Matai J, Richmond D, Lee D, Blair Z, Wu Q, Abazari A and Kastner R Resolve Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, (195-204)
- Bender M, Fineman J, Gilbert S and Tarjan R (2015). A New Approach to Incremental Cycle Detection and Related Problems, ACM Transactions on Algorithms, 12:2, (1-22), Online publication date: 12-Feb-2016.
- Dolecek L and Sala F (2016). Channel Coding Methods for Non-Volatile Memories, Foundations and Trends in Communications and Information Theory, 13:1, (1-128), Online publication date: 1-Feb-2016.
- Bienkowski M, Gąsieniec L, Klonowski M, Korzeniowski M, Mans B, Schmid S and Wattenhofer R (2016). Distributed alarming in the on-duty and off-duty models, IEEE/ACM Transactions on Networking, 24:1, (218-230), Online publication date: 1-Feb-2016.
- Farnoud Hassanzadeh F, Schwartz M and Bruck J (2016). Bounds for Permutation Rate-Distortion, IEEE Transactions on Information Theory, 62:2, (703-712), Online publication date: 1-Feb-2016.
- Debnath B, Haghdoost A, Kadav A, Khatib M and Ungureanu C (2016). Revisiting Hash Table Design for Phase Change Memory, ACM SIGOPS Operating Systems Review, 49:2, (18-26), Online publication date: 20-Jan-2016.
- Tong W, Goebel R and Lin G (2016). Smoothed heights of tries and patricia tries, Theoretical Computer Science, 609:P3, (620-626), Online publication date: 4-Jan-2016.
- Barbay J, Gupta A, Satti S and Sorenson J (2016). Near-optimal online multiselection in internal and external memory, Journal of Discrete Algorithms, 36:C, (3-17), Online publication date: 1-Jan-2016.
- Konyagin S, Luca F, Mans B, Mathieson L, Sha M and Shparlinski I (2016). Functional graphs of polynomials over finite fields, Journal of Combinatorial Theory Series B, 116:C, (87-122), Online publication date: 1-Jan-2016.
- Leino K and Lucio P (2015). An Assertional Proof of the Stability and Correctness of Natural Mergesort, ACM Transactions on Computational Logic, 17:1, (1-22), Online publication date: 10-Dec-2015.
- Da Wang , Mazumdar A and Wornell G (2015). Compression in the Space of Permutations, IEEE Transactions on Information Theory, 61:12, (6417-6431), Online publication date: 1-Dec-2015.
- Fernandes D, Cheng L, Favero E and Nishimoto K (2015). A domain decomposition strategy for hybrid parallelization of moving particle semi-implicit (MPS) method for computer cluster, Cluster Computing, 18:4, (1363-1377), Online publication date: 1-Dec-2015.
- Bhattacharya B, Kameda T and Song Z (2015). Minmax regret 1-center algorithms for path/tree/unicycle/cactus networks, Discrete Applied Mathematics, 195:C, (18-30), Online publication date: 20-Nov-2015.
- Richter S, Alvarez V and Dittrich J (2015). A seven-dimensional analysis of hashing methods and its implications on query processing, Proceedings of the VLDB Endowment, 9:3, (96-107), Online publication date: 1-Nov-2015.
- Xu Y, Fischione C and Speranzon A (2015). Model based peer-to-peer estimator over wireless sensor networks with lossy channels, Automatica (Journal of IFAC), 61:C, (263-273), Online publication date: 1-Nov-2015.
- Debnath B, Haghdoost A, Kadav A, Khatib M and Ungureanu C Revisiting hash table design for phase change memory Proceedings of the 3rd Workshop on Interactions of NVM/FLASH with Operating Systems and Workloads, (1-9)
- Elmasry A (2015). Counting inversions adaptively, Information Processing Letters, 115:10, (769-772), Online publication date: 1-Oct-2015.
- Song T, Yuan H, Crowley P and Zhang B Scalable Name-Based Packet Forwarding Proceedings of the 2nd ACM Conference on Information-Centric Networking, (19-28)
- Asai H and Ohara Y (2015). Poptrie, ACM SIGCOMM Computer Communication Review, 45:4, (57-70), Online publication date: 22-Sep-2015.
- Poyias A and Raman R Improved Practical Compact Dynamic Tries Proceedings of the 22nd International Symposium on String Processing and Information Retrieval - Volume 9309, (324-336)
- Asai H and Ohara Y Poptrie Proceedings of the 2015 ACM Conference on Special Interest Group on Data Communication, (57-70)
- Chennupati G, Azad R and Ryan C Synthesis of Parallel Iterative Sorts with Multi-Core Grammatical Evolution Proceedings of the Companion Publication of the 2015 Annual Conference on Genetic and Evolutionary Computation, (1059-1066)
- Mrazek V, Vasicek Z and Sekanina L Evolutionary Approximation of Software for Embedded Systems Proceedings of the Companion Publication of the 2015 Annual Conference on Genetic and Evolutionary Computation, (795-801)
- Leonard P and Jackson D Efficient Evolution of High Entropy RNGs Using Single Node Genetic Programming Proceedings of the 2015 Annual Conference on Genetic and Evolutionary Computation, (1071-1078)
- Cicalese F, Keszegh B, Lidický B, Pálvölgyi D and Valla T On the Tree Search Problem with Non-uniform Costs Revised Papers of the 41st International Workshop on Graph-Theoretic Concepts in Computer Science - Volume 9224, (90-102)
- Axtmann M, Bingmann T, Sanders P and Schulz C Practical Massively Parallel Sorting Proceedings of the 27th ACM symposium on Parallelism in Algorithms and Architectures, (13-23)
- Krishnappa D, Zink M, Griwodz C and Halvorsen P (2015). Cache-Centric Video Recommendation, ACM Transactions on Multimedia Computing, Communications, and Applications, 11:4, (1-20), Online publication date: 2-Jun-2015.
- Buzaglo S and Etzion T (2015). Bounds on the Size of Permutation Codes With the Kendall
$\tau $ - Vasicek Z and Sekanina L (2015). Evolutionary Approach to Approximate Digital Circuits Design, IEEE Transactions on Evolutionary Computation, 19:3, (432-444), Online publication date: 1-Jun-2015.
- Bazoobandi H, Rooij S, Urbani J, Teije A, Harmelen F and Bal H A Compact In-Memory Dictionary for RDF Data Proceedings of the 12th European Semantic Web Conference on The Semantic Web. Latest Advances and New Domains - Volume 9088, (205-220)
- Li Y, Chasseur C and Patel J A Padded Encoding Scheme to Accelerate Scans by Leveraging Skew Proceedings of the 2015 ACM SIGMOD International Conference on Management of Data, (1509-1524)
- Chan T and Lee P (2015). On Constant Factors in Comparison-Based Geometric Algorithms and Data Structures, Discrete & Computational Geometry, 53:3, (489-513), Online publication date: 1-Apr-2015.
- Tiskin A (2015). Fast Distance Multiplication of Unit-Monge Matrices, Algorithmica, 71:4, (859-888), Online publication date: 1-Apr-2015.
- Athanassoulis M, Chen S, Ailamaki A, Gibbons P and Stoica R (2015). Online Updates on Data Warehouses via Judicious Use of Solid-State Storage, ACM Transactions on Database Systems, 40:1, (1-42), Online publication date: 25-Mar-2015.
- Cartis C, Fowkes J and Gould N (2015). Branching and bounding improvements for global optimization algorithms with Lipschitz continuity properties, Journal of Global Optimization, 61:3, (429-457), Online publication date: 1-Mar-2015.
- Hintermüller M and Wu T (2015). Robust Principal Component Pursuit via Inexact Alternating Minimization on Matrix Manifolds, Journal of Mathematical Imaging and Vision, 51:3, (361-377), Online publication date: 1-Mar-2015.
- Castellà D, Solsona F and Giné F (2015). DisCoP, Journal of Grid Computing, 13:1, (115-137), Online publication date: 1-Mar-2015.
- Neininger R (2015). Refined quicksort asymptotics, Random Structures & Algorithms, 46:2, (346-361), Online publication date: 1-Mar-2015.
- Grossi R and Ottaviano G (2015). Fast Compressed Tries through Path Decompositions, ACM Journal of Experimental Algorithmics, 19, (1.1-1.20), Online publication date: 3-Feb-2015.
- Wild S, Nebel M and Neininger R (2015). Average Case and Distributional Analysis of Dual-Pivot Quicksort, ACM Transactions on Algorithms, 11:3, (1-42), Online publication date: 13-Jan-2015.
- Spillner J and Schill A Algorithms for Dispersed Processing Proceedings of the 2014 IEEE/ACM 7th International Conference on Utility and Cloud Computing, (914-921)
- Devyatkin D, Kuznetsova Y, Chudova N and Shvets A (2014). Intelligent analysis of manifestations of verbal aggressiveness in network community texts, Scientific and Technical Information Processing, 41:6, (377-389), Online publication date: 1-Dec-2014.
- Singh R, Kumar V, Shrivastava A, Kumar S and Tiwari A RVA Sorting Based On Bubble & Quick Sort Technique Proceedings of the 2014 International Conference on Information and Communication Technology for Competitive Strategies, (1-6)
- Skovsgaard A and Jensen C Top-k point of interest retrieval using standard indexes Proceedings of the 22nd ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems, (173-182)
- Estébanez C, Saez Y, Recio G and Isasi P (2014). AUTOMATIC DESIGN OF NONCRYPTOGRAPHIC HASH FUNCTIONS USING GENETIC PROGRAMMING, Computational Intelligence, 30:4, (798-831), Online publication date: 1-Nov-2014.
- Götz S, Ilsche T, Cardoso J, Spillner J, Assmann U, Nagel W and Schill A Energy-Efficient Data Processing at Sweet Spot Frequencies Proceedings of the Confederated International Workshops on On the Move to Meaningful Internet Systems: OTM 2014 Workshops - Volume 8842, (154-171)
- Bogdanov D, Laur S and Talviste R A Practical Analysis of Oblivious Sorting Algorithms for Secure Multi-party Computation Secure IT Systems, (59-74)
- Mandelbaum A and Momčilović P (2014). Performance-based routing, Operations Research Letters, 42:6, (418-423), Online publication date: 1-Sep-2014.
- Pagh R, Wei Z, Yi K and Zhang Q (2014). Cache-Oblivious Hashing, Algorithmica, 69:4, (864-883), Online publication date: 1-Aug-2014.
- Eppstein D, Goodrich M, Mitzenmacher M and Pszona P Wear Minimization for Cuckoo Hashing Proceedings of the 13th International Symposium on Experimental Algorithms - Volume 8504, (162-173)
- Bloom G and Simha R Hardware-enhanced distributed access enforcement for role-based access control Proceedings of the 19th ACM symposium on Access control models and technologies, (5-16)
- Hoefler T and Kwasniewski G Automatic complexity analysis of explicitly parallel programs Proceedings of the 26th ACM symposium on Parallelism in algorithms and architectures, (226-235)
- Chandramouli B and Goldstein J Patience is a virtue Proceedings of the 2014 ACM SIGMOD International Conference on Management of Data, (731-742)
- Levin R and Kanza Y Stratified-sampling over social networks using mapreduce Proceedings of the 2014 ACM SIGMOD International Conference on Management of Data, (863-874)
- Wendt J, Koushanfar F and Potkonjak M Techniques for Foundry Identification Proceedings of the 51st Annual Design Automation Conference, (1-6)
- Fang C, Yang F, Zeng X and Li X BMF-BD Proceedings of the 51st Annual Design Automation Conference, (1-6)
- Pedro L and Takahashi R (2014). INSPM, Information Sciences: an International Journal, 268, (202-219), Online publication date: 1-Jun-2014.
- Goodrich M Zig-zag sort Proceedings of the forty-sixth annual ACM symposium on Theory of computing, (684-693)
- Gupta R, Shah R and Mhetre A In-memory, high speed stream processing Proceedings of the 8th ACM International Conference on Distributed Event-Based Systems, (306-309)
- Alistarh D, Aspnes J, Censor-Hillel K, Gilbert S and Guerraoui R (2014). Tight Bounds for Asynchronous Renaming, Journal of the ACM, 61:3, (1-51), Online publication date: 1-May-2014.
- Lang K (2014). Practical Algorithms for Generating a Random Ordering of the Elements of a Weighted Set, Theory of Computing Systems, 54:4, (659-688), Online publication date: 1-May-2014.
- Broda S, Machiavelo A, Moreira N and Reis R (2014). A Hitchhiker's Guide to descriptional complexity through analytic combinatorics, Theoretical Computer Science, 528, (85-100), Online publication date: 1-Apr-2014.
- Hernández-Orallo J, Dowe D and Hernández-Lloreda M (2014). Universal psychometrics, Cognitive Systems Research, 27:C, (50-74), Online publication date: 1-Mar-2014.
- Puš V, Kekely L and Závodník T Using DSP blocks to compute CRC hash in FPGA (abstract only) Proceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays, (256-256)
- Kim J, Mészáros K, Panova G and Wilson D (2014). Dyck tilings, increasing trees, descents, and inversions, Journal of Combinatorial Theory Series A, 122:C, (9-27), Online publication date: 1-Feb-2014.
- Chong N, Donaldson A and Ketema J (2014). A sound and complete abstraction for reasoning about parallel prefix sums, ACM SIGPLAN Notices, 49:1, (397-409), Online publication date: 13-Jan-2014.
- Chong N, Donaldson A and Ketema J A sound and complete abstraction for reasoning about parallel prefix sums Proceedings of the 41st ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, (397-409)
- Ferreira K, Riesen R, Bridges P, Arnold D and Brightwell R (2014). Accelerating incremental checkpointing for extreme-scale computing, Future Generation Computer Systems, 30:C, (66-77), Online publication date: 1-Jan-2014.
- Henglein F and Hinze R Sorting and Searching by Distribution Proceedings of the 11th Asian Symposium on Programming Languages and Systems - Volume 8301, (315-332)
- Hofri M (2013). Optimal selection and sorting via dynamic programming, ACM Journal of Experimental Algorithmics, 18, (2.1-2.14), Online publication date: 1-Dec-2013.
- Nikolaenko V, Ioannidis S, Weinsberg U, Joye M, Taft N and Boneh D Privacy-preserving matrix factorization Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security, (801-812)
- Giakkoupis G, Kermarrec A and Woelfel P Gossip Protocols for Renaming and Sorting Proceedings of the 27th International Symposium on Distributed Computing - Volume 8205, (194-208)
- Pei M, Deng D and Huang H Parallel Reducts Proceedings of the 8th International Conference on Rough Sets and Knowledge Technology - Volume 8171, (229-239)
- Crochemore M, Iliopoulos C, Kociumaka T, Kubica M, Langiu A, Pissis S, Radoszewski J, Rytter W and Waleń T Order-Preserving Incomplete Suffix Trees and Order-Preserving Indexes Proceedings of the 20th International Symposium on String Processing and Information Retrieval - Volume 8214, (84-95)
- Kleinsorge J, Falk H and Marwedel P Simple analysis of partial worst-case execution paths on general control flow graphs Proceedings of the Eleventh ACM International Conference on Embedded Software, (1-10)
- Svenningsson J, Svensson B and Sheeran M Counting and occurrence sort for GPUs using an embedded language Proceedings of the 2nd ACM SIGPLAN workshop on Functional high-performance computing, (37-46)
- Trajkovski I Parallel Genetic Algorithm for Creation of Sort Algorithms Proceedings of the 5th International Conference on Computational Collective Intelligence. Technologies and Applications - Volume 8083, (367-376)
- Balkesen C, Alonso G, Teubner J and Özsu M (2013). Multi-core, main-memory joins, Proceedings of the VLDB Endowment, 7:1, (85-96), Online publication date: 1-Sep-2013.
- Naeem M, Weber G, Dobbie G and Lutteroth C SSCJ Proceedings of the 15th International Conference on Data Warehousing and Knowledge Discovery - Volume 8057, (236-247)
- Mehri M, Gomez-Krämer P, Héroux P, Boucher A and Mullot R Texture feature evaluation for segmentation of historical document images Proceedings of the 2nd International Workshop on Historical Document Imaging and Processing, (102-109)
- Aronov B, de Berg M, Roeloffzen M and Speckmann B Distance-Sensitive planar point location Proceedings of the 13th international conference on Algorithms and Data Structures, (49-60)
- Guzman J and Poblete B On-line relevant anomaly detection in the Twitter stream Proceedings of the ACM SIGKDD Workshop on Outlier Detection and Description, (31-39)
- Wörister M, Steinlechner H, Maierhofer S and Tobler R Lazy incremental computation for efficient scene graph rendering Proceedings of the 5th High-Performance Graphics Conference, (53-62)
- Perarnau G (2013). A probabilistic approach to consecutive pattern avoiding in permutations, Journal of Combinatorial Theory Series A, 120:5, (998-1011), Online publication date: 1-Jul-2013.
- Kaufmann M, Manjili A, Vagenas P, Fischer P, Kossmann D, Färber F and May N Timeline index Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data, (1173-1184)
- Martín-Fernández F and Caballero-Gil P Version of the new SHA standard applied to manage certificate revocation in VANETs Proceedings of the 12th international conference on Artificial Neural Networks: advances in computational intelligence - Volume Part I, (161-168)
- Hinze R, Magalhães J and Wu N A Duality of Sorts Essays Dedicated to Rinus Plasmeijer on the Occasion of His 61st Birthday on The Beauty of Functional Code - Volume 8106, (151-167)
- Hsu B and Ottaviano G Space-efficient data structures for Top-k completion Proceedings of the 22nd international conference on World Wide Web, (583-594)
- FéRay V and Goulden I (2013). A multivariate hook formula for labelled trees, Journal of Combinatorial Theory Series A, 120:4, (944-959), Online publication date: 1-May-2013.
- Kocamaz U (2013). Increasing the efficiency of quicksort using a neural network based algorithm selection model, Information Sciences: an International Journal, 229, (94-105), Online publication date: 1-Apr-2013.
- Tiskin A Efficient high-similarity string comparison Proceedings of the Joint EDBT/ICDT 2013 Workshops, (358-365)
- Tanasic I, Vilanova L, Jordà M, Cabezas J, Gelado I, Navarro N and Hwu W Comparison based sorting for systems with multiple GPUs Proceedings of the 6th Workshop on General Purpose Processor Using Graphics Processing Units, (1-11)
- Libeskind-Hadas R A derivation-first approach to teaching algorithms Proceeding of the 44th ACM technical symposium on Computer science education, (573-578)
- Othman N, Luo L, Cai W and Lees M Spatial indexing in agent-based crowd simulation Proceedings of the 6th International ICST Conference on Simulation Tools and Techniques, (92-100)
- Wienß J, Stein M and Ewald R Evaluating simulation software components with player rating systems Proceedings of the 6th International ICST Conference on Simulation Tools and Techniques, (41-50)
- Krishnappa D, Zink M, Griwodz C and Halvorsen P Cache-centric video recommendation Proceedings of the 4th ACM Multimedia Systems Conference, (261-270)
- Qu Y and Prasanna V Scalable high-throughput architecture for large balanced tree structures on FPGA (abstract only) Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays, (278-278)
- Wei W, Coolen K and Leus R (2013). Sequential testing policies for complex systems under precedence constraints, Expert Systems with Applications: An International Journal, 40:2, (611-620), Online publication date: 1-Feb-2013.
- Lam F and Wong R Rotated library sort Proceedings of the Nineteenth Computing: The Australasian Theory Symposium - Volume 141, (21-26)
- Leckey K, Neininger R and Szpankowski W Towards more realistic probabilistic models for data structures Proceedings of the twenty-fourth annual ACM-SIAM symposium on Discrete algorithms, (877-886)
- Gagie T On the value of multiple read/write streams for data compression Information Theory, Combinatorics, and Search Theory, (284-297)
- Abawajy J, Jami S, Shaikh Z and Hammad S (2013). A framework for scalable distributed provenance storage system, Computer Standards & Interfaces, 35:1, (179-186), Online publication date: 1-Jan-2013.
- Wells J, Ting K and Naiwala C A non-time series approach to vehicle related time series problems Proceedings of the Tenth Australasian Data Mining Conference - Volume 134, (61-70)
- Goodrich M, Hirschberg D, Mitzenmacher M and Thaler J Cache-Oblivious dictionaries and multimaps with negligible failure probability Proceedings of the First Mediterranean conference on Design and Analysis of Algorithms, (203-218)
- Takada M, Inada H, Nakazawa K, Tani S, Iwata M, Sugimoto Y and Nagata S (2012). A High-Speed Drug Interaction Search System for Ease of Use in the Clinical Environment, Journal of Medical Systems, 36:6, (3533-3541), Online publication date: 1-Dec-2012.
- Hamada K, Kikuchi R, Ikarashi D, Chida K and Takahashi K Practically efficient multi-party sorting protocols from comparison sort algorithms Proceedings of the 15th international conference on Information Security and Cryptology, (202-216)
- Brier E, Quentin F, Korkikian R, Magld K, Naccache D, de Almeida G, Pommellet A, Ragab A and Vuillemin J Defensive leakage camouflage Proceedings of the 11th international conference on Smart Card Research and Advanced Applications, (277-295)
- Barbay J, Fischer J and Navarro G (2012). LRM-Trees, Theoretical Computer Science, 459, (26-41), Online publication date: 1-Nov-2012.
- Grimson R, Heintz J and Kuijpers B (2012). Evaluating geometric queries using few arithmetic operations, Applicable Algebra in Engineering, Communication and Computing, 23:3-4, (179-193), Online publication date: 1-Nov-2012.
- Ma D, Feng J and Li G A positional access method for relational databases Proceedings of the 21st ACM international conference on Information and knowledge management, (2164-2168)
- Tran Q and Chan C SliceSort Proceedings of the 21st ACM international conference on Information and knowledge management, (2109-2113)
- Brisaboa N, Navarro G and Ordóñez A Smaller self-indexes for natural language Proceedings of the 19th international conference on String Processing and Information Retrieval, (372-378)
- Afek Y, Kaplan H, Korenfeld B, Morrison A and Tarjan R CBTree Proceedings of the 26th international conference on Distributed Computing, (1-15)
- Rao S, Ramakrishnan R, Silberstein A, Ovsiannikov M and Reeves D Sailfish Proceedings of the Third ACM Symposium on Cloud Computing, (1-14)
- Stewénius H, Gunderson S and Pilet J Size Matters Proceedings, Part II, of the 12th European Conference on Computer Vision --- ECCV 2012 - Volume 7573, (674-687)
- Yarmolik S and Yarmolik V (2012). Controlled random tests, Automation and Remote Control, 73:10, (1704-1714), Online publication date: 1-Oct-2012.
- Dong B, Li X, Wu Q, Xiao L and Ruan L (2012). A dynamic and adaptive load balancing strategy for parallel file system with large-scale I/O servers, Journal of Parallel and Distributed Computing, 72:10, (1254-1268), Online publication date: 1-Oct-2012.
- Hinze R, James D, Harper T, Wu N and Magalhães J Sorting with bialgebras and distributive laws Proceedings of the 8th ACM SIGPLAN workshop on Generic programming, (69-80)
- Liu J, Jaiyen B, Veras R and Mutlu O (2012). RAIDR, ACM SIGARCH Computer Architecture News, 40:3, (1-12), Online publication date: 5-Sep-2012.
- Schmitz R, Li S, Grecos C and Zhang X A new approach to commutative watermarking-encryption Proceedings of the 13th IFIP TC 6/TC 11 international conference on Communications and Multimedia Security, (117-130)
- Sachan A, Emmanuel S and Kankanhalli M (2012). Aggregate licenses validation for digital rights violation detection, ACM Transactions on Multimedia Computing, Communications, and Applications, 8:2S, (1-21), Online publication date: 1-Sep-2012.
- Huang X, Hou Q, Ren Z and Zhou K (2012). Scalable Programmable Motion Effects on GPUs, Computer Graphics Forum, 31:7pt2, (2259-2266), Online publication date: 1-Sep-2012.
- Bankapur R, Bhoomaraddi B, Maralappanavar M and Bhoomaraddi B Optimized trace transform based content based image retrieval algorithm Proceedings of the International Conference on Advances in Computing, Communications and Informatics, (690-696)
- Zhang X, Chen K, Shou L, Chen G, Gao Y and Tan K (2012). Efficient processing of probabilistic set-containment queries on uncertain set-valued data, Information Sciences: an International Journal, 196, (97-117), Online publication date: 1-Aug-2012.
- Biscani F Parallel sparse polynomial multiplication on modern hardware architectures Proceedings of the 37th International Symposium on Symbolic and Algebraic Computation, (83-90)
- Secomandi N and Wang M (2012). A Computational Approach to the Real Option Management of Network Contracts for Natural Gas Pipeline Transport Capacity, Manufacturing & Service Operations Management, 14:3, (441-454), Online publication date: 1-Jul-2012.
- Damerow V, Manthey B, Heide F, Räcke H, Scheideler C, Sohler C and Tantau T (2012). Smoothed analysis of left-to-right maxima with applications, ACM Transactions on Algorithms, 8:3, (1-28), Online publication date: 1-Jul-2012.
- Batu T, Berenbrink P and Cooper C (2012). Chains-into-bins processes, Journal of Discrete Algorithms, 14, (21-28), Online publication date: 1-Jul-2012.
- Papanikolaou N (2012). Review of algorithms and theory of computation handbook by Mikhail J. Atallah and Marina Blanton, ACM SIGACT News, 43:2, (29-32), Online publication date: 11-Jun-2012.
- Liu J, Jaiyen B, Veras R and Mutlu O RAIDR Proceedings of the 39th Annual International Symposium on Computer Architecture, (1-12)
- Lang K Practical algorithms for generating a random ordering of the elements of a weighted set Proceedings of the 6th international conference on Fun with Algorithms, (270-281)
- Kaldager S Indexing Google 1T for low-turnaround wildcarded frequency queries Proceedings of the 2012 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies: Student Research Workshop, (17-22)
- Martínez-Prieto M, Fernández J and Cánovas R (2012). Querying RDF dictionaries in compressed space, ACM SIGAPP Applied Computing Review, 12:2, (64-77), Online publication date: 1-Jun-2012.
- Inoue H, Moriyama T, Komatsu H and Nakatani T (2012). A high-performance sorting algorithm for multicore single-instruction multiple-data processors, Software—Practice & Experience, 42:6, (753-777), Online publication date: 1-Jun-2012.
- Becker A, Joux A, May A and Meurer A Decoding random binary linear codes in 2/20 Proceedings of the 31st Annual international conference on Theory and Applications of Cryptographic Techniques, (520-536)
- Dong B, Li X, Xiao L and Ruan L (2012). An optimal candidate selection model for self-acting load balancing of parallel file system, International Journal of High Performance Computing and Networking, 7:2, (123-128), Online publication date: 1-Apr-2012.
- Cormode G, Muthukrishnan S, Yi K and Zhang Q (2012). Continuous sampling from distributed streams, Journal of the ACM, 59:2, (1-25), Online publication date: 1-Apr-2012.
- Drmota M and Kutzelnigg R (2012). A precise analysis of Cuckoo hashing, ACM Transactions on Algorithms, 8:2, (1-36), Online publication date: 1-Apr-2012.
- Kent C, Lewenstein M and Sheinwald D (2012). On demand string sorting over unbounded alphabets, Theoretical Computer Science, 426-427, (66-74), Online publication date: 1-Apr-2012.
- Vanka R and Tuck J Efficient and accurate data dependence profiling using software signatures Proceedings of the Tenth International Symposium on Code Generation and Optimization, (186-195)
- Martínez-Prieto M, Fernández J and Cánovas R Compression of RDF dictionaries Proceedings of the 27th Annual ACM Symposium on Applied Computing, (340-347)
- Zhou H and Foley S (2012). Fast automatic security protocol generation, Journal of Computer Security, 20:2-3, (119-167), Online publication date: 1-Mar-2012.
- Kanso A, Yahyaoui H and Almulla M (2012). Keyed hash function based on a chaotic map, Information Sciences: an International Journal, 186:1, (249-264), Online publication date: 1-Mar-2012.
- Hyatt M (2012). Eulerian quasisymmetric functions for the type B Coxeter group and other wreath product groups, Advances in Applied Mathematics, 48:3, (465-505), Online publication date: 1-Mar-2012.
- Uno T, Uehara R and Nakano S Bounding the number of reduced trees, cographs, and series-parallel graphs by compression Proceedings of the 6th international conference on Algorithms and computation, (5-16)
- Tracy D and Brown S (2012). Accelerating physics in large, continuous virtual environments, Concurrency and Computation: Practice & Experience, 24:2, (125-134), Online publication date: 1-Feb-2012.
- Broutin N, Neininger R and Sulzbach H Partial match queries in random quadtrees Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete algorithms, (1056-1065)
- Wauters T, Verbeeck K, Causmaecker P and Berghe G Fast Permutation Learning Revised Selected Papers of the 6th International Conference on Learning and Intelligent Optimization - Volume 7219, (292-306)
- Mccandless J and Gregg D (2012). Compiler techniques to improve dynamic branch prediction for indirect jump and call instructions, ACM Transactions on Architecture and Code Optimization, 8:4, (1-20), Online publication date: 1-Jan-2012.
- Bhatnagar N and Linial N (2012). On the Lipschitz constant of the RSK correspondence, Journal of Combinatorial Theory Series A, 119:1, (63-82), Online publication date: 1-Jan-2012.
- Parakh A and Kak S A new small world lattice Proceedings of the 2011 international conference on Advanced Computing, Networking and Security, (1-8)
- Goodrich M (2011). Randomized Shellsort, Journal of the ACM, 58:6, (1-26), Online publication date: 1-Dec-2011.
- Pan J and Manocha D Fast GPU-based locality sensitive hashing for k-nearest neighbor computation Proceedings of the 19th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems, (211-220)
- Beaton N, Flajolet P and Guttmann A (2011). The enumeration of prudent polygons by area and its unusual asymptotics, Journal of Combinatorial Theory Series A, 118:8, (2261-2290), Online publication date: 1-Nov-2011.
- Prodinger H, Schneider C and Wagner S (2011). Unfair permutations, European Journal of Combinatorics, 32:8, (1282-1298), Online publication date: 1-Nov-2011.
- Khorasani E, Paulovicks B, Sheinin V and Yeo H Parallel implementation of external sort and join operations on a multi-core network-optimized system on a chip Proceedings of the 11th international conference on Algorithms and architectures for parallel processing - Volume Part I, (318-325)
- Zhang B Generic constant-round oblivious sorting algorithm for MPC Proceedings of the 5th international conference on Provable security, (240-256)
- Dobbie G, Naeem M and Weber G (2011). HYBRIDJOIN for Near-Real-Time Data Warehousing, International Journal of Data Warehousing and Mining, 7:4, (21-42), Online publication date: 1-Oct-2011.
- Waechter M, Hamacher K, Hoffgaard F, Widmer S and Goesele M Is your permutation algorithm unbiased for n ≠ 2m? Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I, (297-306)
- Dauschan M, Thenius R, Schmickl T and Crailsheim K Using virtual embryogenesis in multi-robot organisms Proceedings of the Second international conference on Adaptive and intelligent systems, (238-247)
- Sra S and Cherian A Generalized dictionary learning for symmetric positive definite matrices with application to nearest neighbor retrieval Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part III, (318-332)
- Kertész-Farkas A, Reiz B, Myers M and Pongor S PTMSearch Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part II, (162-176)
- Sra S and Cherian A Generalized Dictionary Learning for symmetric positive definite matrices with application to Nearest Neighbor retrieval Proceedings of the 2011th European Conference on Machine Learning and Knowledge Discovery in Databases - Volume Part III, (318-332)
- Kertész-Farkas A, Reiz B, Myers M and Pongor S PTMSearch Proceedings of the 2011th European Conference on Machine Learning and Knowledge Discovery in Databases - Volume Part II, (162-176)
- Reviriego P, Holst L and Maestro J (2011). On the expected longest length probe sequence for hashing with separate chaining, Journal of Discrete Algorithms, 9:3, (307-312), Online publication date: 1-Sep-2011.
- Edelkamp S, Elmasry A and Katajainen J Two constant-factor-optimal realizations of adaptive heapsort Proceedings of the 22nd international conference on Combinatorial Algorithms, (195-208)
- Bernstein D, Lange T, Peters C and Schwabe P Really fast syndrome-based hashing Proceedings of the 4th international conference on Progress in cryptology in Africa, (134-152)
- Pelsmajer M, Schaefer M and źTefankoviăź D (2011). Crossing Numbers of Graphs with Rotation Systems, Algorithmica, 60:3, (679-702), Online publication date: 1-Jul-2011.
- Baddar S, Serhan S and Abdel-Haq H (2011). The growing-tree sorting algorithm, WSEAS Transactions on Information Science and Applications, 8:7, (275-284), Online publication date: 1-Jul-2011.
- Ducournau R and Privat J (2011). Metamodeling semantics of multiple inheritance, Science of Computer Programming, 76:7, (555-586), Online publication date: 1-Jul-2011.
- Botelho F, Lacerda A, Menezes G and Ziviani N (2011). Minimal perfect hashing, Information Sciences: an International Journal, 181:13, (2608-2625), Online publication date: 1-Jul-2011.
- Tellez E, Chávez E and Navarro G Succinct nearest neighbor search Proceedings of the Fourth International Conference on SImilarity Search and APplications, (33-40)
- Barbay J, Fischer J and Navarro G LRM-trees Proceedings of the 22nd annual conference on Combinatorial pattern matching, (285-298)
- Kontkanen J, Tabellion E and Overbeck R Coherent out-of-core point-based global illumination Proceedings of the Twenty-second Eurographics conference on Rendering, (1353-1360)
- Rocha J The participation loop Proceedings of the 2011 international conference on Computational science and its applications - Volume Part II, (172-184)
- Hoşsucu A, Ayyildiz H and Göktürk Z Concept disambiguation exploiting semantic databases Proceedings of the International Workshop on Semantic Web Information Management, (1-6)
- Alistarh D, Aspnes J, Censor-Hillel K, Gilbert S and Zadimoghaddam M Optimal-time adaptive strong renaming, with applications to counting Proceedings of the 30th annual ACM SIGACT-SIGOPS symposium on Principles of distributed computing, (239-248)
- Saso T, Kobayashi K and Nakamura A (2011). On the possible patterns of inputs for block sorting in the Burrows-Wheeler transformation, Information Processing Letters, 111:12, (595-599), Online publication date: 1-Jun-2011.
- Prasad D, Yusof M, Palai S and Nawi A Sorting networks on FPGA Proceedings of the 10th WSEAS international conference on Telecommunications and informatics and microelectronics, nanoelectronics, optoelectronics, and WSEAS international conference on Signal processing, (29-31)
- Ruskey F Teaching the art of computer programming (TAOCP) Proceedings of the 16th Western Canadian Conference on Computing Education, (2-6)
- Brisaboa N, Cánovas R, Claude F, Martínez-Prieto M and Navarro G Compressed string dictionaries Proceedings of the 10th international conference on Experimental algorithms, (136-147)
- Bertasi P, Bressan M and Peserico E (2011). psort, yet another fast stable sorting software, ACM Journal of Experimental Algorithmics, 16, (2.1-2.19), Online publication date: 1-May-2011.
- Levi T and Litman A (2011). Bitonic sorters of minimal depth, Theoretical Computer Science, 412:22, (2282-2295), Online publication date: 1-May-2011.
- Ducournau R and Morandat F (2011). Perfect class hashing and numbering for object-oriented implementation, Software—Practice & Experience, 41:6, (661-694), Online publication date: 1-May-2011.
- Emmerich M and Fonseca C Computing hypervolume contributions in low dimensions Proceedings of the 6th international conference on Evolutionary multi-criterion optimization, (121-135)
- Laber E and Molinaro M (2011). An Approximation Algorithm for Binary Searching in Trees, Algorithmica, 59:4, (601-620), Online publication date: 1-Apr-2011.
- Pamies-Juarez L, García-López P, Sánchez-Artigas M and Herrera B (2011). Towards the design of optimal data redundancy schemes for heterogeneous cloud storage infrastructures, Computer Networks: The International Journal of Computer and Telecommunications Networking, 55:5, (1100-1113), Online publication date: 1-Apr-2011.
- Scheiblauer C and Wimmer M (2011). Cultural Heritage, Computers and Graphics, 35:2, (342-351), Online publication date: 1-Apr-2011.
- Bell T and Aspvall B Sorting algorithms as special cases of a priority queue sort Proceedings of the 42nd ACM technical symposium on Computer science education, (123-128)
- Rolando P, Sisto R and Risso F (2011). SPAF, IEEE/ACM Transactions on Networking, 19:1, (14-27), Online publication date: 1-Feb-2011.
- Edelkamp S, Sulewski D, Barnat J, Brim L and imeek P (2011). Flash memory efficient LTL model checking, Science of Computer Programming, 76:2, (136-157), Online publication date: 1-Feb-2011.
- Flajolet P, Pelletier M and Soria M On Buffon machines and numbers Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete algorithms, (172-183)
- Geffert V and Gajdoš J In-place sorting Proceedings of the 37th international conference on Current trends in theory and practice of computer science, (248-259)
- Dickerson M, Goodrich M, Dickerson T and Zhuo Y Round-trip voronoi diagrams and doubling density in geographic networks Transactions on Computational Science XIV, (211-238)
- Samet H Sorting in space ACM SIGGRAPH ASIA 2010 Courses, (1-52)
- Novelli J and Thibon J (2010). Free quasi-symmetric functions and descent algebras for wreath products, and noncommutative multi-symmetric functions, Discrete Mathematics, 310:24, (3584-3606), Online publication date: 1-Dec-2010.
- Straka M (2010). The performance of the Haskell containers package, ACM SIGPLAN Notices, 45:11, (13-24), Online publication date: 17-Nov-2010.
- Hinze R (2010). Concrete stream calculus, Journal of Functional Programming, 20:5-6, (463-535), Online publication date: 1-Nov-2010.
- Hetyei G (2010). Enumeration by kernel positions for strongly Bernoulli type truncation games on words, Journal of Combinatorial Theory Series A, 117:8, (1107-1126), Online publication date: 1-Nov-2010.
- Fill J and Nakama T (2010). Analysis of the Expected Number of Bit Comparisons Required by Quickselect, Algorithmica, 58:3, (730-769), Online publication date: 1-Nov-2010.
- Godin C and Ferraro P (2010). Quantifying the Degree of Self-Nestedness of Trees, IEEE/ACM Transactions on Computational Biology and Bioinformatics, 7:4, (688-703), Online publication date: 1-Oct-2010.
- Chung F, Claesson A, Dukes M and Graham R (2010). Descent polynomials for permutations with bounded drop size, European Journal of Combinatorics, 31:7, (1853-1867), Online publication date: 1-Oct-2010.
- Straka M The performance of the Haskell containers package Proceedings of the third ACM Haskell symposium on Haskell, (13-24)
- Hinze R and James D Reason isomorphically! Proceedings of the 6th ACM SIGPLAN workshop on Generic programming, (85-96)
- Křižka F, Krátky M and Bača R On support of ordering in multidimensional data structures Proceedings of the 14th east European conference on Advances in databases and information systems, (575-578)
- Sachan A, Emmanuel S and Kankanhalli M A geometric approach for efficient licenses validation in DRM Proceedings of the 7th VLDB conference on Secure data management, (132-149)
- Merrill D and Grimshaw A Revisiting sorting for GPGPU stream architectures Proceedings of the 19th international conference on Parallel architectures and compilation techniques, (545-546)
- Bidlo M, Vasicek Z and Slany K Sorting network development using cellular automata Proceedings of the 9th international conference on Evolvable systems: from biology to hardware, (85-96)
- Debnath B, Sengupta S and Li J (2010). FlashStore, Proceedings of the VLDB Endowment, 3:1-2, (1414-1425), Online publication date: 1-Sep-2010.
- Martinez-Palau X, Dominguez-Sal D and Larriba-Pey J (2010). Two-way replacement selection, Proceedings of the VLDB Endowment, 3:1-2, (871-881), Online publication date: 1-Sep-2010.
- Schmeck H, Müller-Schloer C, Çakar E, Mnif M and Richter U (2010). Adaptivity and self-organization in organic computing systems, ACM Transactions on Autonomous and Adaptive Systems, 5:3, (1-32), Online publication date: 1-Sep-2010.
- Rehmann K, Müller M and Schöttner M Adaptive conflict unit size for distributed optimistic synchronization Proceedings of the 16th international Euro-Par conference on Parallel processing: Part I, (547-559)
- Mavronicolas M and Sauerwald T (2010). The impact of randomization in smoothing networks, Distributed Computing, 22:5-6, (381-411), Online publication date: 1-Aug-2010.
- Batu T, Berenbrink P and Cooper C Chains-into-bins processes Proceedings of the 21st international conference on Combinatorial algorithms, (314-325)
- Dvořák T, Fink J, Gregor P, Koubek V and Radzik T Efficient connectivity testing of hypercubic networks with faults Proceedings of the 21st international conference on Combinatorial algorithms, (181-191)
- Sun L, Cheng R, Cheung D and Cheng J Mining uncertain data with probabilistic guarantees Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining, (273-282)
- Jacobs T, Cicalese F, Laber E and Molinaro M On the complexity of searching in trees Proceedings of the 37th international colloquium conference on Automata, languages and programming, (527-539)
- Barg A and Mazumdar A (2010). Codes in permutations and error correction for rank modulation, IEEE Transactions on Information Theory, 56:7, (3158-3165), Online publication date: 1-Jul-2010.
- Barnabei M, Bonetti F and Silimbani M (2010). The joint distribution of consecutive patterns and descents in permutations avoiding 3-1-2, European Journal of Combinatorics, 31:5, (1360-1371), Online publication date: 1-Jul-2010.
- Tang P, Shoham Y and Lin F (2010). Designing competitions between teams of individuals, Artificial Intelligence, 174:11, (749-766), Online publication date: 1-Jul-2010.
- Debnath B, Sengupta S and Li J ChunkStash Proceedings of the 2010 USENIX conference on USENIX annual technical conference, (16-16)
- Sonnenburg S and Franc V COFFIN Proceedings of the 27th International Conference on International Conference on Machine Learning, (999-1006)
- Hoffmann M, Matoušek J, Okamoto Y and Zumstein P Minimum and maximum against k lies Proceedings of the 12th Scandinavian conference on Algorithm Theory, (139-149)
- Barr T, Cox A and Rixner S (2010). Translation caching, ACM SIGARCH Computer Architecture News, 38:3, (48-59), Online publication date: 19-Jun-2010.
- Barr T, Cox A and Rixner S Translation caching Proceedings of the 37th annual international symposium on Computer architecture, (48-59)
- Benoit A, Dufossé F, Gallet M, Robert Y and Gaujal B Computing the throughput of probabilistic and replicated streaming applications Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures, (166-175)
- Verbin E and Zhang Q The limits of buffering Proceedings of the forty-second ACM symposium on Theory of computing, (447-456)
- Augustine J, Putnam B and Roy S (2010). Largest empty circle centered on a query line, Journal of Discrete Algorithms, 8:2, (143-153), Online publication date: 1-Jun-2010.
- Martínez C, Panario D and Viola A (2010). Adaptive sampling strategies for quickselects, ACM Transactions on Algorithms, 6:3, (1-45), Online publication date: 1-Jun-2010.
- Tamo I and Schwartz M (2010). Correcting limited-magnitude errors in the rank-modulation scheme, IEEE Transactions on Information Theory, 56:6, (2551-2560), Online publication date: 1-Jun-2010.
- Bruun A, Edelkamp S, Katajainen J and Rasmussen J Policy-based benchmarking of weak heaps and their relatives, Proceedings of the 9th international conference on Experimental Algorithms, (424-435)
- Wang Y, Pedersen K, Sørensen T and Mogensen P (2010). Carrier load balancing and packet scheduling for multi-carrier systems, IEEE Transactions on Wireless Communications, 9:5, (1780-1789), Online publication date: 1-May-2010.
- Jiang A, Schwartz M and Bruck J (2010). Correcting charge-constrained errors in the rank-modulation scheme, IEEE Transactions on Information Theory, 56:5, (2112-2120), Online publication date: 1-May-2010.
- Codish M and Zazon-Ivry M Pairwise cardinality networks Proceedings of the 16th international conference on Logic for programming, artificial intelligence, and reasoning, (154-172)
- Duch A, Jiménez R and Martńnez C Rank selection in multidimensional data Proceedings of the 9th Latin American conference on Theoretical Informatics, (674-685)
- Raidl G and Hu B Enhancing genetic algorithms by a trie-based complete solution archive Proceedings of the 10th European conference on Evolutionary Computation in Combinatorial Optimization, (239-251)
- Wang Y, Han Y and Lu T Estimating the influence of documents in IR systems Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part IV, (263-272)
- Groppe S and Groppe J External sorting for index construction of large semantic web databases Proceedings of the 2010 ACM Symposium on Applied Computing, (1373-1380)
- Chatterjee K, de Alfaro L, Raman V and Sánchez C Analyzing the impact of change in multi-threaded programs Proceedings of the 13th international conference on Fundamental Approaches to Software Engineering, (293-307)
- Rieck K, Krueger T, Brefeld U and Müller K (2010). Approximate Tree Kernels, The Journal of Machine Learning Research, 11, (555-580), Online publication date: 1-Mar-2010.
- Askitis N and Zobel J (2011). Redesigning the string hash table, burst trie, and BST to exploit cache, ACM Journal of Experimental Algorithmics, 15, (1.1-1.61), Online publication date: 1-Mar-2010.
- Sinha R and Wirth A (2010). Engineering burstsort, ACM Journal of Experimental Algorithmics, 15, (2.1-2.24), Online publication date: 1-Mar-2010.
- Nash N and Gregg D (2010). Comparing integer data structures for 32- and 64-bit keys, ACM Journal of Experimental Algorithmics, 15, (2.1-2.43), Online publication date: 1-Mar-2010.
- Jin X and Chan S (2010). Detecting malicious nodes in peer-to-peer streaming by peer-based monitoring, ACM Transactions on Multimedia Computing, Communications, and Applications, 6:2, (1-18), Online publication date: 1-Mar-2010.
- Geffert V and Gajdo J (2010). Multiway in-place merging, Theoretical Computer Science, 411:16-18, (1793-1808), Online publication date: 1-Mar-2010.
- Mahmoud H (2010). Distributional analysis of swaps in Quick Select, Theoretical Computer Science, 411:16-18, (1763-1769), Online publication date: 1-Mar-2010.
- Yamanaka K, Nakano S, Matsui Y, Uehara R and Nakada K (2010). Efficient enumeration of all ladder lotteries and its application, Theoretical Computer Science, 411:16-18, (1714-1722), Online publication date: 1-Mar-2010.
- Aval K and Damrudi M ENOD sort on diamond architecture Proceedings of the 9th WSEAS international conference on Software engineering, parallel and distributed systems, (205-208)
- Arge L and Zeh N External-memory algorithms and data structures Algorithms and theory of computation handbook, (10-10)
- Samet H Multidimensional data structures for spatial applications Algorithms and theory of computation handbook, (6-6)
- Estivill-Castro V Sorting and order statistics Algorithms and theory of computation handbook, (3-3)
- Baeza-Yates R and Poblete P Searching Algorithms and theory of computation handbook, (2-2)
- Reingold E Algorithm design and analysis techniques Algorithms and theory of computation handbook, (1-1)
- Seidel R Data-specific analysis of string sorting Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete algorithms, (1278-1286)
- Setter O, Sharir M and Halperin D Constructing two-dimensional Voronoi diagrams via divide-and-conquer of envelopes in space Transactions on computational science IX, (1-27)
- Morik K Nemoz Ubiquitous knowledge discovery, (199-215)
- Setter O, Sharir M and Halperin D Constructing two-dimensional Voronoi diagrams via divide-and-conquer of envelopes in space Transactions on computational science IX, (1-27)
- Morik K Nemoz Ubiquitous knowledge discovery, (199-215)
- Varghese G Network algorithmics Algorithms and theory of computation handbook, (28-28)
- Schoenmakers B Voting schemes Algorithms and theory of computation handbook, (15-15)
- Kornerup P, Lauter C, Lefèvre V, Louvet N and Muller J (2010). Computing correctly rounded integer powers in floating-point arithmetic, ACM Transactions on Mathematical Software, 37:1, (1-23), Online publication date: 1-Jan-2010.
- Li S (2010). Unified algebraic theory of sorting, routing, multicasting, and concentration networks, IEEE Transactions on Communications, 58:1, (247-256), Online publication date: 1-Jan-2010.
- Pikhurko O (2010). Finding an unknown acyclic orientation of a given graph, Combinatorics, Probability and Computing, 19:1, (121-131), Online publication date: 1-Jan-2010.
- Schneider S and Spertus M A Simple, Fast, and Compact Static Dictionary Proceedings of the 20th International Symposium on Algorithms and Computation, (852-861)
- Guillemot S and Vialette S Pattern Matching for 321-Avoiding Permutations Proceedings of the 20th International Symposium on Algorithms and Computation, (1064-1073)
- Duch A and Martínez C (2009). Updating relaxed K-d trees, ACM Transactions on Algorithms, 6:1, (1-24), Online publication date: 1-Dec-2009.
- Martinez C, Pandya D and Lin W (2009). On designing fast nonuniformly distributed IP address lookup hashing algorithms, IEEE/ACM Transactions on Networking, 17:6, (1916-1925), Online publication date: 1-Dec-2009.
- Chen Z Optimal real number codes for fault tolerant matrix operations Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis, (1-10)
- Margaritis G and Anastasiadis S Low-cost management of inverted files for online full-text search Proceedings of the 18th ACM conference on Information and knowledge management, (455-464)
- Case J and Kötzing T Difficulties in forcing fairness of polynomial time inductive inference Proceedings of the 20th international conference on Algorithmic learning theory, (263-277)
- Acar U, Blelloch G, Blume M, Harper R and Tangwongsan K (2009). An experimental analysis of self-adjusting computation, ACM Transactions on Programming Languages and Systems, 32:1, (1-53), Online publication date: 1-Oct-2009.
- Kupiainen M and Sjögreen B (2009). A Cartesian Embedded Boundary Method for the Compressible Navier-Stokes Equations, Journal of Scientific Computing, 41:1, (94-117), Online publication date: 1-Oct-2009.
- Brlek S, Koskas M and Provençal X A linear time and space algorithm for detecting path intersection Proceedings of the 15th IAPR international conference on Discrete geometry for computer imagery, (397-408)
- Tang Q and Chen L Public-key encryption with registered keyword search Proceedings of the 6th European conference on Public key infrastructures, services and applications, (163-178)
- Geffert V and Gajdoš J Multiway in-place merging Proceedings of the 17th international conference on Fundamentals of computation theory, (133-144)
- Case J and Moelius S Independence results for n-ary recursion theorems Proceedings of the 17th international conference on Fundamentals of computation theory, (38-49)
- Levi T and Litman A (2009). Accelerating certain outputs of merging and sorting networks, Theoretical Computer Science, 410:38-40, (3725-3732), Online publication date: 1-Sep-2009.
- Mueller R, Teubner J and Alonso G (2009). Data processing on FPGAs, Proceedings of the VLDB Endowment, 2:1, (910-921), Online publication date: 1-Aug-2009.
- Tretyakov A and Tyrtyshnikov E (2009). Optimal in-place transposition of rectangular matrices, Journal of Complexity, 25:4, (377-384), Online publication date: 1-Aug-2009.
- Chen S, Qin J, Xie Y, Zhao J and Heng P A Fast and Flexible Sorting Algorithm with CUDA Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing, (281-290)
- Peserico E and Pretto L Score and rank convergence of HITS Proceedings of the 32nd international ACM SIGIR conference on Research and development in information retrieval, (770-771)
- McNamee P, Nicholas C and Mayfield J Addressing morphological variation in alphabetic languages Proceedings of the 32nd international ACM SIGIR conference on Research and development in information retrieval, (75-82)
- Fouz M, Kufleitner M, Manthey B and Jahromi N On Smoothed Analysis of Quicksort and Hoare's Find Proceedings of the 15th Annual International Conference on Computing and Combinatorics, (158-167)
- Döhring I and Lienhart R Mining TV broadcasts for recurring video sequences Proceedings of the ACM International Conference on Image and Video Retrieval, (1-8)
- Kubalík J Solving the sorting network problem using iterative optimization with evolved hypermutations Proceedings of the 11th Annual conference on Genetic and evolutionary computation, (301-308)
- Grobbelaar L and Kinyua J A spell checker and corrector for the native South African language, South Sotho Proceedings of the 2009 Annual Conference of the Southern African Computer Lecturers' Association, (50-59)
- Binnig C, Hildenbrand S and Färber F Dictionary-based order-preserving string compression for main memory column stores Proceedings of the 2009 ACM SIGMOD International Conference on Management of data, (283-296)
- Schlegel B, Gemulla R and Lehner W k-ary search on modern processors Proceedings of the Fifth International Workshop on Data Management on New Hardware, (52-60)
- Do J and Patel J Join processing for flash SSDs Proceedings of the Fifth International Workshop on Data Management on New Hardware, (1-8)
- Gagie T On the Value of Multiple Read/Write Streams for Data Compression Proceedings of the 20th Annual Symposium on Combinatorial Pattern Matching - Volume 5577, (68-77)
- Suzuki M and Minami K Concurrent heap-based network sort engine—Toward enabling massive and high speed per-flow queuing Proceedings of the 2009 IEEE international conference on Communications, (1350-1355)
- Bertasi P, Bressan M and Peserico E psort, Yet Another Fast Stable Sorting Software Proceedings of the 8th International Symposium on Experimental Algorithms, (76-88)
- Jiang A, Mateescu R, Schwartz M and Bruck J (2009). Rank modulation for flash memories, IEEE Transactions on Information Theory, 55:6, (2659-2673), Online publication date: 1-Jun-2009.
- Lin T, Chen P and Truong T (2009). Simplified procedure for decoding nonsystematic reed-Solomon codes over GF(2m) using Euclid's algorithm and the fast Fourier transform, IEEE Transactions on Communications, 57:6, (1588-1592), Online publication date: 1-Jun-2009.
- Díaz J, Reyes S, Niño A and Muñoz-Caro C (2009). Derivation of self-scheduling algorithms for heterogeneous distributed computer systems, Future Generation Computer Systems, 25:6, (617-626), Online publication date: 1-Jun-2009.
- Hinze R Reasoning about codata Proceedings of the Third summer school conference on Central European functional programming school, (42-93)
- Gajda Z and Sekanina L Gate-level optimization of polymorphic circuits using Cartesian genetic programming Proceedings of the Eleventh conference on Congress on Evolutionary Computation, (1599-1604)
- Gong Y and Fukunaga A Fault tolerance in distributed genetic algorithms with tree topologies Proceedings of the Eleventh conference on Congress on Evolutionary Computation, (968-975)
- Tarek A A new algorithm for multiple key interpolation search in uniform list of numbers Proceedings of the international conference on Computational and information science 2009, (321-327)
- Angiulli F, Fassetti F and Palopoli L (2009). Detecting outlying properties of exceptional objects, ACM Transactions on Database Systems, 34:1, (1-62), Online publication date: 1-Apr-2009.
- Dorea C, Pardàs M and Marques F (2009). Trajectory tree as an object-oriented hierarchical representation for video, IEEE Transactions on Circuits and Systems for Video Technology, 19:4, (547-560), Online publication date: 1-Apr-2009.
- Riediger M, Schober R and Lampe L (2009). Fast multiple-symbol detection for free-space optical communications, IEEE Transactions on Communications, 57:4, (1119-1128), Online publication date: 1-Apr-2009.
- Stehouwer H and van Zaanen M Language models for contextual error detection and correction Proceedings of the EACL 2009 Workshop on Computational Linguistic Aspects of Grammatical Inference, (41-48)
- Even G, Levi T and Litman A (2009). Optimal conclusive sets for comparator networks, Theoretical Computer Science, 410:14, (1369-1376), Online publication date: 30-Mar-2009.
- Ordinez L, Donari D, Santos R and Orozco J Resource sharing in behavioral based scheduling Proceedings of the 2009 ACM symposium on Applied Computing, (1972-1978)
- Seiferas J (2009). Sorting Networks of Logarithmic Depth, Further Simplified, Algorithmica, 53:3, (374-384), Online publication date: 1-Mar-2009.
- Alonso L and Reingold E (2009). Average-case analysis of some plurality algorithms, ACM Transactions on Algorithms, 5:2, (1-36), Online publication date: 1-Mar-2009.
- Hruschka E, Campello R, Freitas A and De Carvalho A (2009). A survey of evolutionary algorithms for clustering, IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews, 39:2, (133-155), Online publication date: 1-Mar-2009.
- Naylor M and Runciman C (2009). Expressible sharing for functional circuit description, Higher-Order and Symbolic Computation, 22:1, (67-80), Online publication date: 1-Mar-2009.
- Arnon S, Dolev S, Kat R and Kedar D (2009). Searching for a lion in the desert, ACM SIGMOBILE Mobile Computing and Communications Review, 12:4, (32-42), Online publication date: 20-Feb-2009.
- Firro G and Mansour T (2009). Restricted k-ary words and functional equations, Discrete Applied Mathematics, 157:4, (602-616), Online publication date: 20-Feb-2009.
- Tryfonopoulos C, Koubarakis M and Drougas Y (2009). Information filtering and query indexing for an information retrieval model, ACM Transactions on Information Systems, 27:2, (1-47), Online publication date: 1-Feb-2009.
- Elmasry A and Hammad A (2009). Inversion-sensitive sorting algorithms in practice, ACM Journal of Experimental Algorithmics, 13, (1.11-1.18), Online publication date: 1-Feb-2009.
- Thorup M String hashing for linear probing Proceedings of the twentieth annual ACM-SIAM symposium on Discrete algorithms, (655-664)
- Daskalakis C, Karp R, Mossel E, Riesenfeld S and Verbin E Sorting and selection in posets Proceedings of the twentieth annual ACM-SIAM symposium on Discrete algorithms, (392-401)
- Drmota M and Szpankowski W (Un)expected behavior of digital search tree profile Proceedings of the twentieth annual ACM-SIAM symposium on Discrete algorithms, (130-138)
- Laube U and Nebel M Maximum likelihood analysis of heapsort Proceedings of the Meeting on Analytic Algorithmics and Combinatorics, (41-50)
- Mahmoud H Average-case analysis of moves in quick select Proceedings of the Meeting on Analytic Algorithmics and Combinatorics, (35-40)
- Askitis N Fast and compact hash tables for integer keys Proceedings of the Thirty-Second Australasian Conference on Computer Science - Volume 91, (113-122)
- Askitis N and Zobel J (2009). B-tries for disk-based string management, The VLDB Journal — The International Journal on Very Large Data Bases, 18:1, (157-179), Online publication date: 1-Jan-2009.
- Cheon J, Hong J and Kim M Speeding Up the Pollard Rho Method on Prime Fields Proceedings of the 14th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology, (471-488)
- Ceterchi R and Tomescu A (2008). Implementing Sorting Networks with Spiking Neural P Systems, Fundamenta Informaticae, 87:1, (35-48), Online publication date: 1-Nov-2008.
- Janson S (2008). Individual displacements in hashing with coalesced chains, Combinatorics, Probability and Computing, 17:6, (799-814), Online publication date: 1-Nov-2008.
- Forman G and Kirshenbaum E Extremely fast text feature extraction for classification and indexing Proceedings of the 17th ACM conference on Information and knowledge management, (1221-1230)
- Huang F, Emami A and Zitouni I When Harry met Harri Proceedings of the Conference on Empirical Methods in Natural Language Processing, (391-399)
- Tan X (2008). An efficient algorithm for the three-guard problem, Discrete Applied Mathematics, 156:17, (3312-3324), Online publication date: 1-Oct-2008.
- Flajolet P and Vepstas L (2008). On differences of zeta values, Journal of Computational and Applied Mathematics, 220:1-2, (58-73), Online publication date: 1-Oct-2008.
- Henglein F (2008). Generic discrimination, ACM SIGPLAN Notices, 43:9, (91-102), Online publication date: 27-Sep-2008.
- Filliatre J A functional implementation of the garsia--wachs algorithm Proceedings of the 2008 ACM SIGPLAN workshop on ML, (91-96)
- Henglein F Generic discrimination Proceedings of the 13th ACM SIGPLAN international conference on Functional programming, (91-102)
- van den Bosch A and Bogers T Efficient context-sensitive word completion for mobile devices Proceedings of the 10th international conference on Human computer interaction with mobile devices and services, (465-470)
- Louridas P, Spinellis D and Vlachos V (2008). Power laws in software, ACM Transactions on Software Engineering and Methodology, 18:1, (1-26), Online publication date: 1-Sep-2008.
- Guan N, Deng Q, Gu Z, Xu W and Yu G (2008). Schedulability analysis of preemptive and nonpreemptive EDF on partial runtime-reconfigurable FPGAs, ACM Transactions on Design Automation of Electronic Systems, 13:4, (1-43), Online publication date: 1-Sep-2008.
- Chung K and Vadhan S Tight Bounds for Hashing Block Sources Proceedings of the 11th international workshop, APPROX 2008, and 12th international workshop, RANDOM 2008 on Approximation, Randomization and Combinatorial Optimization: Algorithms and Techniques, (357-370)
- Manthey B and Tantau T Smoothed Analysis of Binary Search Trees and Quicksort under Additive Noise Proceedings of the 33rd international symposium on Mathematical Foundations of Computer Science, (467-478)
- Samet H A sorting approach to indexing spatial data ACM SIGGRAPH 2008 classes, (1-15)
- Nguyen V and Ruys T Incremental Hashing for Spin Proceedings of the 15th international workshop on Model Checking Software, (232-249)
- Bidlo M and Škarvada J (2008). Instruction-based development: From evolution to generic structures of digital circuits, International Journal of Knowledge-based and Intelligent Engineering Systems, 12:3, (221-236), Online publication date: 1-Aug-2008.
- Dittrich J, Blunschi L and Salles M (2008). Dwarfs in the rearview mirror, Proceedings of the VLDB Endowment, 1:2, (1586-1597), Online publication date: 1-Aug-2008.
- Chhugani J, Nguyen A, Lee V, Macy W, Hagog M, Chen Y, Baransi A, Kumar S and Dubey P (2008). Efficient implementation of sorting on multi-core SIMD CPU architecture, Proceedings of the VLDB Endowment, 1:2, (1313-1324), Online publication date: 1-Aug-2008.
- Koltsidas I, Müller H and Viglas S (2008). Sorting hierarchical data in external memory for archiving, Proceedings of the VLDB Endowment, 1:1, (1205-1216), Online publication date: 1-Aug-2008.
- Lester N, Moffat A and Zobel J (2008). Efficient online index construction for text databases, ACM Transactions on Database Systems, 33:3, (1-33), Online publication date: 1-Aug-2008.
- Bender M, Ge D, He S, Hu H, Pinter R, Skiena S and Swidan F (2008). Improved bounds on sorting by length-weighted reversals, Journal of Computer and System Sciences, 74:5, (744-774), Online publication date: 1-Aug-2008.
- Xu R, Godefroid P and Majumdar R Testing for buffer overflows with length abstraction Proceedings of the 2008 international symposium on Software testing and analysis, (27-38)
- Laber E and Molinaro M An Approximation Algorithm for Binary Searching in Trees Proceedings of the 35th international colloquium on Automata, Languages and Programming - Volume Part I, (459-471)
- Ferragina P, Grossi R, Gupta A, Shah R and Vitter J On searching compressed string collections cache-obliviously Proceedings of the twenty-seventh ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems, (181-190)
- Apaydin T, Ferhatosmanoglu H, Canahuate G and Tosun A Dynamic data organization for bitmap indices Proceedings of the 3rd international conference on Scalable information systems, (1-10)
- Rieck K and Laskov P (2008). Linear-Time Computation of Similarity Measures for Sequential Data, The Journal of Machine Learning Research, 9, (23-48), Online publication date: 1-Jun-2008.
- Brodal G, Fagerberg R and Moruz G (2008). On the adaptiveness of Quicksort, ACM Journal of Experimental Algorithmics, 12, (1-20), Online publication date: 1-Jun-2008.
- Hazel T, Toma L, Vahrenhold J and Wickremesinghe R (2008). Terracost, ACM Journal of Experimental Algorithmics, 12, (1-31), Online publication date: 1-Jun-2008.
- Biggar P, Nash N, Williams K and Gregg D (2008). An experimental study of sorting and branch prediction, ACM Journal of Experimental Algorithmics, 12, (1-39), Online publication date: 1-Jun-2008.
- Brodal G, Fagerberg R and Vinther K (2008). Engineering a cache-oblivious sorting algorithm, ACM Journal of Experimental Algorithmics, 12, (1-23), Online publication date: 1-Jun-2008.
- Nash N and Gregg D Comparing integer data structures for 32 and 64 bit keys Proceedings of the 7th international conference on Experimental algorithms, (28-42)
- Sinha R and Wirth A Engineering burstsort Proceedings of the 7th international conference on Experimental algorithms, (14-27)
- Aho T, Elomaa T and Kujala J Reducing splaying by taking advantage of working sets Proceedings of the 7th international conference on Experimental algorithms, (1-12)
- Kim C, Yoon S and Kim D Parallel external sort of floating-point data by integer conversion Proceedings of the WSEAS International Conference on Applied Computing Conference, (120-123)
- Qi X, Wu J, Li S and Li G (2008). Sorting by transpositions: dealing with length-weighted models, International Journal of Bioinformatics Research and Applications, 4:2, (164-171), Online publication date: 1-May-2008.
- Sieling D (2008). Minimization of decision trees is hard to approximate, Journal of Computer and System Sciences, 74:3, (394-403), Online publication date: 1-May-2008.
- Kim P and Kutzner A Ratio based stable in-place merging Proceedings of the 5th international conference on Theory and applications of models of computation, (246-257)
- Osterloh A Deterministic hot-potato permutation routing on the mesh and the torus Proceedings of the 5th international conference on Theory and applications of models of computation, (223-233)
- Santha M Quantum walk based search algorithms Proceedings of the 5th international conference on Theory and applications of models of computation, (31-46)
- Park G, Hwang H, Nicodème P and Szpankowski W Profile of tries Proceedings of the 8th Latin American conference on Theoretical informatics, (1-11)
- Zhong X and Xu C (2008). System-wide energy minimization for real-time tasks, ACM Transactions on Embedded Computing Systems, 7:3, (1-24), Online publication date: 1-Apr-2008.
- Jula H and Candea G A Scalable, Sound, Eventually-Complete Algorithm for Deadlock Immunity Runtime Verification, (119-136)
- Atir Y, Harel D, Kleinbort A and Maoz S Object composition in scenario-based programming Proceedings of the Theory and practice of software, 11th international conference on Fundamental approaches to software engineering, (301-316)
- Franceschini G and Grossi R (2008). No sorting? better searching!, ACM Transactions on Algorithms, 4:1, (1-13), Online publication date: 1-Mar-2008.
- Ružić M (2008). Uniform deterministic dictionaries, ACM Transactions on Algorithms, 4:1, (1-23), Online publication date: 1-Mar-2008.
- Czajka T and Pandurangan G (2008). Improved random graph isomorphism, Journal of Discrete Algorithms, 6:1, (85-92), Online publication date: 1-Mar-2008.
- Hildrum K, Douglis F, Wolf J, Yu P, Fleischer L and Katta A (2008). Storage optimization for large-scale distributed stream-processing systems, ACM Transactions on Storage, 3:4, (1-28), Online publication date: 1-Feb-2008.
- Kuba M and Panholzer A (2008). On edge-weighted recursive trees and inversions in random permutations, Discrete Mathematics, 308:4, (529-540), Online publication date: 1-Feb-2008.
- Mozes S, Onak K and Weimann O Finding an optimal tree searching strategy in linear time Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms, (1096-1105)
- Mitzenmacher M and Vadhan S Why simple hash functions work Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms, (746-755)
- Godfrey P Balls and bins with structure Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms, (511-517)
- Voigtländer J (2008). Much ado about two (pearl), ACM SIGPLAN Notices, 43:1, (29-35), Online publication date: 14-Jan-2008.
- Fan J, Gao Y, Luo H and Satoh S New approach for hierarchical classifier training and multi-level image annotation Proceedings of the 14th international conference on Advances in multimedia modeling, (45-57)
- Voigtländer J Much ado about two (pearl) Proceedings of the 35th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (29-35)
- Ceterchi R and Tomescu A (2008). Implementing Sorting Networks with Spiking Neural P Systems, Fundamenta Informaticae, 87:1, (35-48), Online publication date: 1-Jan-2008.
- Vitter J (2008). Algorithms and data structures for external memory, Foundations and Trends® in Theoretical Computer Science, 2:4, (305-474), Online publication date: 1-Jan-2008.
- Christophi C and Mahmoud H (2008). On climbing tries, Probability in the Engineering and Informational Sciences, 22:1, (133-149), Online publication date: 1-Jan-2008.
- Chin F, Ting H and Zhang Y A Constant-Competitive Algorithm for Online OVSF Code Assignment Algorithms and Computation, (452-463)
- Borch N, Andersen A and Vognild L Social Topology Analyzed On the Move to Meaningful Internet Systems 2007: CoopIS, DOA, ODBASE, GADA, and IS, (226-237)
- Daoud A Perfect hash functions for large dictionaries Proceedings of the ACM first workshop on CyberInfrastructure: information management in eScience, (67-72)
- Angiulli F and Fassetti F Detecting distance-based outliers in streams of data Proceedings of the sixteenth ACM conference on Conference on information and knowledge management, (811-820)
- Hottinen A and Heikkinen T Distributed subchannel assignment in an OFDMA relay Proceedings of the 2nd international conference on Performance evaluation methodologies and tools, (1-6)
- Mareš M and Straka M Linear-time ranking of permutations Proceedings of the 15th annual European conference on Algorithms, (187-193)
- Chan C, Yu H, Hon W and Wang B A faster query algorithm for the text fingerprinting problem Proceedings of the 15th annual European conference on Algorithms, (123-135)
- Nusser S, Cerruti J, Wilcox E, Cousins S, Schoudt J and Sancho S Enabling efficient orienteering behavior in webmail clients Proceedings of the 20th annual ACM symposium on User interface software and technology, (139-148)
- Popov V (2007). Multiple genome rearrangement by swaps and by element duplications, Theoretical Computer Science, 385:1-3, (115-126), Online publication date: 1-Oct-2007.
- Jermaine C, Omiecinski E and Yee W (2007). The partitioned exponential file for database storage management, The VLDB Journal — The International Journal on Very Large Data Bases, 16:4, (417-437), Online publication date: 1-Oct-2007.
- Afek Y and Levie Y (2007). Efficient adaptive collect algorithms, Distributed Computing, 20:3, (221-238), Online publication date: 1-Oct-2007.
- Niculescu V Data-distributions in powerlist theory Proceedings of the 4th international conference on Theoretical aspects of computing, (396-409)
- Pelsmajer M, Schaefer M and Štefankovič D Crossing number of graphs with rotation systems Proceedings of the 15th international conference on Graph drawing, (3-12)
- Gedik B, Bordawekar R and Yu P CellSort Proceedings of the 33rd international conference on Very large data bases, (1286-1297)
- Vasicek Z and Sekanina L Reducing the area on a chip using a bank of evolved filters Proceedings of the 7th international conference on Evolvable systems: from biology to hardware, (222-232)
- Beuchat J, Brisebarre N, Detrey J and Okamoto E Arithmetic Operators for Pairing-Based Cryptography Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems, (239-255)
- Helmer S, Aly R, Neumann T and Moerkotte G Indexing set-valued attributes with a multi-level extendible hashing scheme Proceedings of the 18th international conference on Database and Expert Systems Applications, (98-108)
- Myasnikov A Generic complexity of undecidable problems Proceedings of the Second international conference on Computer Science: theory and applications, (407-417)
- Rieß C and Wanka R Periodic load balancing on the N-cycle Proceedings of the 13th international Euro-Par conference on Parallel Processing, (805-814)
- Dachsel H, Hofmann M and Rünger G Library support for parallel sorting in scientific computations Proceedings of the 13th international Euro-Par conference on Parallel Processing, (695-704)
- Kim J and Kim S (2007). A Fast Computational Optimization Method, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E90-A:8, (1679-1689), Online publication date: 1-Aug-2007.
- Kent C, Lewenstein M and Sheinwald D On demand string sorting over unbounded alphabets Proceedings of the 18th annual conference on Combinatorial Pattern Matching, (16-27)
- Gajda Z and Sekanina L Reducing the number of transistors in digital circuits using gate-level evolutionary design Proceedings of the 9th annual conference on Genetic and evolutionary computation, (245-252)
- Vilar D, Leusch G, Ney H and Banchs R Human evaluation of machine translation through binary system comparisons Proceedings of the Second Workshop on Statistical Machine Translation, (96-103)
- Kalmar P and Blume M FICO Proceedings of the 4th International Workshop on Semantic Evaluations, (149-152)
- Cieslewicz J, Ross K and Giannakakis I Parallel buffers for chip multiprocessors Proceedings of the 3rd international workshop on Data management on new hardware, (1-10)
- Lahaie S and Pennock D Revenue analysis of a family of ranking rules for keyword auctions Proceedings of the 8th ACM conference on Electronic commerce, (50-56)
- Andersson A and Thorup M (2007). Dynamic ordered sets with exponential search trees, Journal of the ACM, 54:3, (13-es), Online publication date: 1-Jun-2007.
- Smith C Introduction to software performance engineering Proceedings of the 7th international conference on Formal methods for performance evaluation, (395-428)
- Taylor R and van der Hoek A Software Design and Architecture The once and future focus of software engineering 2007 Future of Software Engineering, (226-243)
- Morizumi H and Tarui J Linear-size log-depth negation-limited inverter for k-tonic binary sequences Proceedings of the 4th international conference on Theory and applications of models of computation, (605-615)
- Nakano S, Uehara R and Uno T A new approach to graph recognition and applications to distance-hereditary graphs Proceedings of the 4th international conference on Theory and applications of models of computation, (115-127)
- Shark L, Kurekin A and Matuszewski B (2007). Development and evaluation of fast branch-and-bound algorithm for feature matching based on line segments, Pattern Recognition, 40:5, (1432-1450), Online publication date: 1-May-2007.
- Arya S, Malamatos T and Mount D (2007). A simple entropy-based algorithm for planar point location, ACM Transactions on Algorithms, 3:2, (17-es), Online publication date: 1-May-2007.
- Havran V About the relation between spatial subdivisions and object hierarchies used in ray tracing Proceedings of the 23rd Spring Conference on Computer Graphics, (43-48)
- Navarro G and Mäkinen V (2007). Compressed full-text indexes, ACM Computing Surveys, 39:1, (2-es), Online publication date: 12-Apr-2007.
- Wozniak G, Daley M and Watt S Dynamic ADTs Proceedings of the 2007 International Lisp Conference, (1-12)
- Talbot H and Appleton B (2007). Efficient complete and incomplete path openings and closings, Image and Vision Computing, 25:4, (416-425), Online publication date: 1-Apr-2007.
- Pece A (2007). On the computational rationale for generative models, Computer Vision and Image Understanding, 106:1, (130-143), Online publication date: 1-Apr-2007.
- Scharinger J A systems theoretic approach to the design of scalable cryptographic hash functions Proceedings of the 11th international conference on Computer aided systems theory, (10-17)
- Kuba M and Panholzer A (2007). The left-right-imbalance of binary search trees, Theoretical Computer Science, 370:1-3, (265-278), Online publication date: 1-Feb-2007.
- Pittel B and Romik D (2007). Limit shapes for random square Young tableaux, Advances in Applied Mathematics, 38:2, (164-209), Online publication date: 1-Feb-2007.
- Askitis N and Sinha R HAT-trie Proceedings of the thirtieth Australasian conference on Computer science - Volume 62, (97-105)
- Kim P and Kutzner A A Simple Algorithm for Stable Minimum Storage Merging Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science, (347-356)
- Bhagat R and Hovy E Phonetic models for generating spelling variants Proceedings of the 20th international joint conference on Artifical intelligence, (1570-1575)
- Skarbek W (2007). On Generating All Binary Trees, Fundamenta Informaticae, 75:1-4, (505-536), Online publication date: 1-Jan-2007.
- Aguech R, Lasmar N and Mahmoud H (2007). Extremal Weighted Path Lengths In Random Binary Search Trees, Probability in the Engineering and Informational Sciences, 21:1, (133-141), Online publication date: 1-Jan-2007.
- Schellekens M, Agarwal R, Popovici E and Man K (2006). A simplified derivation of timing complexity lower bounds for sorting by comparisons, Nordic Journal of Computing, 13:4, (340-346), Online publication date: 1-Dec-2006.
- Terrovitis M, Passas S, Vassiliadis P and Sellis T A combination of trie-trees and inverted files for the indexing of set-valued attributes Proceedings of the 15th ACM international conference on Information and knowledge management, (728-737)
- Louchard G and Prodinger H (2006). Asymptotics of the Moments of Extreme-Value Related Distribution Functions, Algorithmica, 46:3-4, (431-467), Online publication date: 1-Nov-2006.
- Bonifati A and Cuzzocrea A (2006). Storing and retrieving XPath fragments in structured P2P networks, Data & Knowledge Engineering, 59:2, (247-269), Online publication date: 1-Nov-2006.
- Can F Turkish information retrieval Proceedings of the 4th international conference on Advances in Information Systems, (13-22)
- Romik D (2006). Permutations with short monotone subsequences, Advances in Applied Mathematics, 37:4, (501-510), Online publication date: 1-Oct-2006.
- Graefe G (2006). Implementing sorting in database systems, ACM Computing Surveys, 38:3, (10-es), Online publication date: 30-Sep-2006.
- Kuba M (2006). On quickselect, partial sorting and multiple quickselect, Information Processing Letters, 99:5, (181-186), Online publication date: 15-Sep-2006.
- Günther C and van der Aalst W A generic import framework for process event logs Proceedings of the 2006 international conference on Business Process Management Workshops, (81-92)
- Kik M Sorting long sequences in a single hop radio network Proceedings of the 31st international conference on Mathematical Foundations of Computer Science, (573-583)
- Kiwi M (2006). A concentration bound for the longest increasing subsequence of a randomly chosen involution, Discrete Applied Mathematics, 154:13, (1816-1823), Online publication date: 15-Aug-2006.
- Cérin C, Koskas M, Fkaier H and Jemni M (2006). Sequential in-core sorting performance for a SQL data service and for parallel sorting on heterogeneous clusters, Future Generation Computer Systems, 22:7, (776-783), Online publication date: 1-Aug-2006.
- Cambazoglu B and Aykanat C (2006). Performance of query processing implementations in ranking-based text retrieval systems using inverted indices, Information Processing and Management: an International Journal, 42:4, (875-898), Online publication date: 1-Jul-2006.
- Lee F and Shieh S (2006). Packet classification using diagonal-based tuple space search, Computer Networks: The International Journal of Computer and Telecommunications Networking, 50:9, (1406-1423), Online publication date: 20-Jun-2006.
- Lee F and Shieh S (2006). Packet classification using diagonal-based tuple space search, Computer Networks: The International Journal of Computer and Telecommunications Networking, 50:9, (1406-1423), Online publication date: 20-Jun-2006.
- Acar U, Blelloch G, Blume M and Tangwongsan K An experimental analysis of self-adjusting computation Proceedings of the 27th ACM SIGPLAN Conference on Programming Language Design and Implementation, (96-107)
- Acar U, Blelloch G, Blume M and Tangwongsan K (2006). An experimental analysis of self-adjusting computation, ACM SIGPLAN Notices, 41:6, (96-107), Online publication date: 11-Jun-2006.
- van den Bosch A All-word prediction as the ultimate confusable disambiguation Proceedings of the Workshop on Computationally Hard Problems and Joint Inference in Speech and Language Processing, (25-32)
- Freeman A, Condon S and Ackerman C Cross linguistic name matching in English and Arabic Proceedings of the main conference on Human Language Technology Conference of the North American Chapter of the Association of Computational Linguistics, (471-478)
- Stein B and Curatolo D Phonetic Spelling and Heuristic Search Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy, (829-830)
- Mielikäinen T (2006). Frequency-based views to pattern collections, Discrete Applied Mathematics, 154:7, (1113-1139), Online publication date: 1-May-2006.
- Bogolubsky A and Skorokhodov S (2006). Fast evaluation of the hypergeometric function pFp-1(a; b; z) at the singular point z = 1 by means of the Hurwitz zeta function ζ(α, s), Programming and Computing Software, 32:3, (145-153), Online publication date: 1-May-2006.
- Wang S and Chen H (2006). Near-optimal data allocation over multiple broadcast channels, Computer Communications, 29:9, (1341-1349), Online publication date: 1-May-2006.
- Wu R, Chang J and Wang Y (2006). A linear time algorithm for binary tree sequences transformation using left-arm and right-arm rotations, Theoretical Computer Science, 355:3, (303-314), Online publication date: 14-Apr-2006.
- Flajolet P, Nebel M and Prodinger H (2006). The scientific works of Rainer Kemp (1949-2004), Theoretical Computer Science, 355:3, (371-381), Online publication date: 14-Apr-2006.
- Schmidt A and Jensen C Efficient maintenance of ephemeral data Proceedings of the 11th international conference on Database Systems for Advanced Applications, (141-155)
- Sevon P, Toivonen H and Ollikainen V (2006). TreeDT, IEEE/ACM Transactions on Computational Biology and Bioinformatics, 3:2, (174-185), Online publication date: 1-Apr-2006.
- Chen W, Deutsch E and Elizalde S (2006). Old and young leaves on plane trees, European Journal of Combinatorics, 27:3, (414-427), Online publication date: 1-Apr-2006.
- Armando A, Mantovani J and Platania L Bounded model checking of software using SMT solvers instead of SAT solvers Proceedings of the 13th international conference on Model Checking Software, (146-162)
- Poblete P, Munro J and Papadakis T (2006). The binomial transform and the analysis of skip lists, Theoretical Computer Science, 352:1, (136-158), Online publication date: 7-Mar-2006.
- Flajolet P The ubiquitous digital tree Proceedings of the 23rd Annual conference on Theoretical Aspects of Computer Science, (1-22)
- Lee M, Whang K, Han W and Song I (2006). Transform-Space View, IEEE Transactions on Knowledge and Data Engineering, 18:2, (245-260), Online publication date: 1-Feb-2006.
- Mehler T and Edelkamp S (2006). Dynamic Incremental Hashing in Program Model Checking, Electronic Notes in Theoretical Computer Science (ENTCS), 149:2, (51-69), Online publication date: 1-Feb-2006.
- Franceschini G and Munro J Implicit dictionaries with O(1) modifications per update and fast search Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm, (404-413)
- Malewicz G Implementation and experiments with an algorithm for parallel scheduling of complex dags under uncertainty Proceedings of the Meeting on Algorithm Engineering & Expermiments, (66-74)
- Kim Y, Park S, Kim T, Lee J and Park T An efficient index scheme for XML databases Proceedings of the 32nd conference on Current Trends in Theory and Practice of Computer Science, (370-378)
- Flajolet P, Szpankowski W and Vallée B (2006). Hidden word statistics, Journal of the ACM, 53:1, (147-183), Online publication date: 1-Jan-2006.
- Zhou B, Hui S and Chang K (2006). Enhancing Mobile Web Access Using Intelligent Recommendations, IEEE Intelligent Systems, 21:1, (28-34), Online publication date: 1-Jan-2006.
- Chan W, Zhang Y, Fung S, Ye D and Zhu H Efficient algorithms for finding a longest common increasing subsequence Proceedings of the 16th international conference on Algorithms and Computation, (665-674)
- Chen E, Yuan H and Yang L Longest increasing subsequences in windows based on canonical antichain partition Proceedings of the 16th international conference on Algorithms and Computation, (1153-1162)
- Benoit D, Demaine E, Munro J, Raman R, Raman V and Rao S (2005). Representing Trees of Higher Degree, Algorithmica, 43:4, (275-292), Online publication date: 1-Dec-2005.
- Zhuge H, Sun X, Liu J, Yao E and Chen X (2005). A Scalable P2P Platform for the Knowledge Grid, IEEE Transactions on Knowledge and Data Engineering, 17:12, (1721-1736), Online publication date: 1-Dec-2005.
- Seiferas J (2005). Research note, Journal of Parallel and Distributed Computing, 65:12, (1601-1606), Online publication date: 1-Dec-2005.
- Kiwiel K (2005). On Floyd and Rivest's SELECT algorithm, Theoretical Computer Science, 347:1-2, (214-238), Online publication date: 30-Nov-2005.
- Gruhl D, Meredith D and Pieper J A case study on alternate representations of data structures in XML Proceedings of the 2005 ACM symposium on Document engineering, (217-219)
- Askitis N and Zobel J Cache-Conscious collision resolution in string hash tables Proceedings of the 12th international conference on String Processing and Information Retrieval, (91-102)
- Martinez C, Lin W and Patel P Optimal XOR hashing for a linearly distributed address lookup in computer networks Proceedings of the 2005 ACM symposium on Architecture for networking and communications systems, (203-210)
- Kumar S and Crowley P Segmented hash Proceedings of the 2005 ACM symposium on Architecture for networking and communications systems, (91-103)
- Wu J, Srikanthan T and Yan C Minimizing power in hardware/software partitioning Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture, (580-588)
- Viola A (2005). Exact distribution of individual displacements in linear probing hashing, ACM Transactions on Algorithms, 1:2, (214-242), Online publication date: 1-Oct-2005.
- Janson S (2005). Individual displacements for linear probing hashing with different insertion policies, ACM Transactions on Algorithms, 1:2, (177-213), Online publication date: 1-Oct-2005.
- Quan G, Davis J, Devarkal S and Buell D High-level synthesis for large bit-width multipliers on FPGAs Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (213-218)
- Ostadzadeh S, Moulavi M and Zeinalpour Z Massive concurrent deletion of keys in b*-tree Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics, (83-91)
- Rafiei D Bulk loading large collections of hyperlinked resources Proceedings of the sixteenth ACM conference on Hypertext and hypermedia, (267-269)
- Chen W (2005). Probabilistic analysis of algorithms for the Dutch national flag problem, Theoretical Computer Science, 341:1, (398-410), Online publication date: 5-Sep-2005.
- Litwin W, Moussa R and Schwarz T (2005). LH*RS---a highly-available scalable distributed data structure, ACM Transactions on Database Systems, 30:3, (769-811), Online publication date: 1-Sep-2005.
- Kheyfets B (2005). The number of part sizes of a given multiplicity in a random Carlitz composition, Advances in Applied Mathematics, 35:3, (335-354), Online publication date: 1-Sep-2005.
- Jagadish H, Ooi B and Vu Q BATON Proceedings of the 31st international conference on Very large data bases, (661-672)
- Chen Y On the general signature trees Proceedings of the 16th international conference on Database and Expert Systems Applications, (207-219)
- List B, Maucher M, Schöning U and Schuler R Randomized Quicksort and the Entropy of the Random Source Proceedings of the 11th Annual International Conference on Computing and Combinatorics - Volume 3595, (450-460)
- Strohman T, Turtle H and Croft W Optimization strategies for complex queries Proceedings of the 28th annual international ACM SIGIR conference on Research and development in information retrieval, (219-225)
- Muthukrishnan S (2005). Data streams, Foundations and Trends® in Theoretical Computer Science, 1:2, (117-236), Online publication date: 1-Aug-2005.
- Lanzagorta M and Uhlmann J Hybrid quantum-classical computing with applications to computer graphics ACM SIGGRAPH 2005 Courses, (2-es)
- Han S, Park M and Cho Y Fast real-time job selection with resource constraints under earliest deadline first Proceedings of the 5th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation, (242-250)
- Franceschini G and Grossi R Optimal in-place sorting of vectors and records Proceedings of the 32nd international conference on Automata, Languages and Programming, (90-102)
- Franceschini G and Geffert V (2005). An in-place sorting with O(nlog n) comparisons and O(n) moves, Journal of the ACM, 52:4, (515-537), Online publication date: 1-Jul-2005.
- Hwa L, Duchaineau M and Joy K (2005). Real-Time Optimal Adaptation for Planetary Geometry and Texture, IEEE Transactions on Visualization and Computer Graphics, 11:4, (355-368), Online publication date: 1-Jul-2005.
- Bidlo M A benchmark for the sorting network problem Proceedings of the 7th annual workshop on Genetic and evolutionary computation, (289-291)
- Bidlo M and Sekanina L Providing information from the environment for growing electronic circuits through polymorphic gates Proceedings of the 7th annual workshop on Genetic and evolutionary computation, (242-248)
- Graham L, Masum H and Oppacher F Statistical analysis of heuristics for evolving sorting networks Proceedings of the 7th annual conference on Genetic and evolutionary computation, (1265-1270)
- Cao W and Aksoy D Beat the clock Proceedings of the 4th ACM international workshop on Data engineering for wireless and mobile access, (89-96)
- Hivert F, Novelli J and Thibon J (2005). The algebra of binary search trees, Theoretical Computer Science, 339:1, (129-165), Online publication date: 11-Jun-2005.
- Palacios H, Bonet B, Darwiche A and Geffner H Pruning conformant plans by counting models on compiled d-DNNF representations Proceedings of the Fifteenth International Conference on International Conference on Automated Planning and Scheduling, (141-150)
- Rolfe T (2005). List processing, ACM SIGCSE Bulletin, 37:2, (46-48), Online publication date: 1-Jun-2005.
- Tse S (2005). Approximate Algorithms for Document Placement in Distributed Web Servers, IEEE Transactions on Parallel and Distributed Systems, 16:6, (489-496), Online publication date: 1-Jun-2005.
- Paige R (2005). An NSF Proposal, Higher-Order and Symbolic Computation, 18:1-2, (211-235), Online publication date: 1-Jun-2005.
- Toman D and Weddell G (2005). On reasoning about structural equality in XML, Theoretical Computer Science, 336:1, (181-203), Online publication date: 25-May-2005.
- Morris B The mixing time of the thorp shuffle Proceedings of the thirty-seventh annual ACM symposium on Theory of computing, (403-412)
- Hitczenko P and Knopfmacher A (2005). Gap-free compositions and gap-free samples of geometric random variables, Discrete Mathematics, 294:3, (225-239), Online publication date: 1-May-2005.
- Bagchi A, Buchsbaum A and Goodrich M (2005). Biased Skip Lists, Algorithmica, 42:1, (31-48), Online publication date: 1-May-2005.
- Ristov S (2005). LZ trie and dictionary compression, Software—Practice & Experience, 35:5, (445-465), Online publication date: 25-Apr-2005.
- Woods D and Naughton T (2005). An optical model of computation, Theoretical Computer Science, 334:1-3, (227-258), Online publication date: 11-Apr-2005.
- Chen Y On the Signature Trees and Balanced Signature Trees Proceedings of the 21st International Conference on Data Engineering, (742-753)
- Luo G, Naughton J, Ellmann C and Watzke M Increasing the Accuracy and Coverage of SQL Progress Indicators Proceedings of the 21st International Conference on Data Engineering, (853-864)
- Govindaraju N, Henson M, Lin M and Manocha D Interactive visibility ordering and transparency computations among geometric primitives in complex environments Proceedings of the 2005 symposium on Interactive 3D graphics and games, (49-56)
- Črepinšek M, Mernik M and Žumer V (2005). Extracting grammar from programs, ACM SIGPLAN Notices, 40:4, (29-38), Online publication date: 1-Apr-2005.
- Li X, Garzaran M and Padua D Optimizing Sorting with Genetic Algorithms Proceedings of the international symposium on Code generation and optimization, (99-110)
- Yee W and Frieder O On search in peer-to-peer file sharing systems Proceedings of the 2005 ACM symposium on Applied computing, (1023-1030)
- Karimou D and Myoupo J (2005). An Application of an Initialization Protocol to Permutation Routing in a Single-Hop Mobile Ad Hoc Networks, The Journal of Supercomputing, 31:3, (215-226), Online publication date: 1-Mar-2005.
- Ryabenko A and Skorokhodov S (2005). Asymptotics of sums of hypergeometric terms, Programming and Computing Software, 31:2, (65-72), Online publication date: 1-Mar-2005.
- Franceschini G Sorting stably, in-place, with O(n log n) comparisons and O(n) moves Proceedings of the 22nd annual conference on Theoretical Aspects of Computer Science, (629-640)
- Champarnaud J and Paranthoën T (2005). Random generation of DFAs, Theoretical Computer Science, 330:2, (221-235), Online publication date: 2-Feb-2005.
- Angiulli F and Pizzuti C (2005). Outlier Mining in Large High-Dimensional Data Sets, IEEE Transactions on Knowledge and Data Engineering, 17:2, (203-215), Online publication date: 1-Feb-2005.
- Chang W, Chu C and Wu J (2005). A Polynomial-Time Dependence Test for Determining Integer-Valued Solutions in Multi-Dimensional Arrays Under Variable Bounds, The Journal of Supercomputing, 31:2, (111-135), Online publication date: 1-Feb-2005.
- Calderbank A, Gilbert A, Levchenko K, Muthukrishnan S and Strauss M Improved range-summable random variable construction algorithms Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms, (840-849)
- He M, Munro J and Rao S A categorization theorem on suffix arrays with applications to space efficient text indexes Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms, (23-32)
- Blandford D and Blelloch G Dictionaries using variable-length keys and data, with applications Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms, (1-10)
- Biedl T, Chan T, Demaine E, Fleischer R, Golin M, King J and Munro J (2004). Fun-sort--or the chaos of unordered binary search, Discrete Applied Mathematics, 144:3, (231-236), Online publication date: 15-Dec-2004.
- Bove A and Coquand T Formalising bitonic sort in type theory Proceedings of the 2004 international conference on Types for Proofs and Programs, (82-97)
- Malewicz G (2004). A tight analysis and near-optimal instances of the algorithm of Anderson and Woll, Theoretical Computer Science, 329:1-3, (285-301), Online publication date: 13-Dec-2004.
- Morohashi G and Iwata S (2004). Some minimum merging networks, Theoretical Computer Science, 329:1-3, (237-250), Online publication date: 13-Dec-2004.
- Flajolet P Counting by coin tossings Proceedings of the 9th Asian Computing Science conference on Advances in Computer Science: dedicated to Jean-Louis Lassez on the Occasion of His 5th Cycle Birthday, (1-12)
- Taniar D, Tan R, Leung C and Liu K (2004). Performance analysis of "Groupby-After-Join" query processing in parallel database systems, Information Sciences: an International Journal, 168:1-4, (25-50), Online publication date: 3-Dec-2004.
- Jigang W and Srikanthan T (2004). An efficient data structure for branch-and-bound algorithm, Information Sciences: an International Journal, 167:1-4, (233-237), Online publication date: 2-Dec-2004.
- Zhuang X, Zhang T and Pande S (2004). HIDE, ACM SIGOPS Operating Systems Review, 38:5, (72-84), Online publication date: 1-Dec-2004.
- Zhuang X, Zhang T and Pande S (2004). HIDE, ACM SIGARCH Computer Architecture News, 32:5, (72-84), Online publication date: 1-Dec-2004.
- Mahmoud H and Tsukiji T (2004). Limit laws for terminal nodes in random circuits with restricted fan-out, Acta Informatica, 41:2-3, (99-110), Online publication date: 1-Dec-2004.
- Bonifati A, Matrangolo U, Cuzzocrea A and Jain M XPath lookup queries in P2P networks Proceedings of the 6th annual ACM international workshop on Web information and data management, (48-55)
- Zhuang X, Zhang T and Pande S (2004). HIDE, ACM SIGPLAN Notices, 39:11, (72-84), Online publication date: 1-Nov-2004.
- Jayram T, Khot S, Kumar R and Rabani Y (2004). Cell-probe lower bounds for the partial match problem, Journal of Computer and System Sciences, 69:3, (435-447), Online publication date: 1-Nov-2004.
- Huang X and Jensen C In-Route skyline querying for location-based services Proceedings of the 4th international conference on Web and Wireless Geographical Information Systems, (120-135)
- Golle P and Juels A Parallel mixing Proceedings of the 11th ACM conference on Computer and communications security, (220-226)
- Shenai R, Venkatachalam M, Maciocco C and Sivalingam K Threshold based Selective Survivability for Optical WDM Mesh Networks Proceedings of the First International Conference on Broadband Networks, (280-289)
- Jelenković P and Radovanović A (2004). Least-recently-used caching with dependent requests, Theoretical Computer Science, 326:1-3, (293-327), Online publication date: 20-Oct-2004.
- Hwa L, Duchaineau M and Joy K Adaptive 4-8 Texture Hierarchies Proceedings of the conference on Visualization '04, (219-226)
- Zhuang X, Zhang T and Pande S HIDE Proceedings of the 11th international conference on Architectural support for programming languages and operating systems, (72-84)
- Byers J, Considine J, Mitzenmacher M and Rost S (2004). Informed content delivery across adaptive overlay networks, IEEE/ACM Transactions on Networking, 12:5, (767-780), Online publication date: 1-Oct-2004.
- Fortz B and Thorup M (2004). Increasing Internet Capacity Using Local Search, Computational Optimization and Applications, 29:1, (13-48), Online publication date: 1-Oct-2004.
- Gamarnik D and Momčilović P (2004). An asymptotic optimality of the transposition rule for linear lists, ACM SIGMETRICS Performance Evaluation Review, 32:2, (33-34), Online publication date: 1-Sep-2004.
- Koudas N, Ooi B, Tan K and Zhang R Approximate NN queries on streams with guaranteed error/performance bounds Proceedings of the Thirtieth international conference on Very large data bases - Volume 30, (804-815)
- Valente P (2004). Exact GPS simulation with logarithmic complexity, and its application to an optimally fair scheduler, ACM SIGCOMM Computer Communication Review, 34:4, (269-280), Online publication date: 30-Aug-2004.
- Valente P Exact GPS simulation with logarithmic complexity, and its application to an optimally fair scheduler Proceedings of the 2004 conference on Applications, technologies, architectures, and protocols for computer communications, (269-280)
- YOSHIDA K, ADACHI F, WASHIO T, MOTODA H, HOMMA T, NAKASHIMA A, FUJIKAWA H and YAMAZAKI K Density-based spam detector Proceedings of the tenth ACM SIGKDD international conference on Knowledge discovery and data mining, (486-493)
- Albert M, Golynski A, Hamel A, López-Ortiz A, Rao S and Safari M (2004). Longest increasing subsequences in sliding windows, Theoretical Computer Science, 321:2-3, (405-414), Online publication date: 16-Aug-2004.
- Bender M, Sethia S and Skiena S (2004). Data structures for maintaining set partitions, Random Structures & Algorithms, 25:1, (43-67), Online publication date: 1-Aug-2004.
- Kowalski D and Shvartsman A Writing-all deterministically and optimally using a non-trivial number of asynchronous processors Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures, (311-320)
- Kumaran A and Haritsa J LexEQUAL Proceedings of the 2004 ACM SIGMOD international conference on Management of data, (949-950)
- Azar Y and Richter Y The zero-one principle for switching networks Proceedings of the thirty-sixth annual ACM symposium on Theory of computing, (64-71)
- Hao F, Kodialam M and Lakshman T ACCEL-RATE Proceedings of the joint international conference on Measurement and modeling of computer systems, (155-166)
- Hao F, Kodialam M and Lakshman T (2004). ACCEL-RATE, ACM SIGMETRICS Performance Evaluation Review, 32:1, (155-166), Online publication date: 1-Jun-2004.
- Gerbessiotis A and Siniolakis C (2004). Probabilistic integer sorting, Information Processing Letters, 90:4, (187-193), Online publication date: 31-May-2004.
- Devroye L and Zamora-Cura C (2004). Expected worst-case partial match in random quadtries, Discrete Applied Mathematics, 141:1-3, (103-117), Online publication date: 30-May-2004.
- Burkowski F (2004). Proximity and priority, Parallel Computing, 30:5-6, (803-816), Online publication date: 1-May-2004.
- Pagh R and Rodler F (2004). Cuckoo hashing, Journal of Algorithms, 51:2, (122-144), Online publication date: 1-May-2004.
- Prodanoff Z and Christensen K (2004). Managing routing tables for URL routers in content distribution networks, International Journal of Network Management, 14:3, (177-192), Online publication date: 1-May-2004.
- Sun N, Nakamura R, Zhu H, Tada A and Sun W An analysis of average search cost of the external hashing with separate chain Proceedings of the 5th WSEAS International Conference on Applied Mathematics, (1-6)
- Sun N and Nakamura R An alternative analysis of the open hashing algorithm Proceedings of the 5th WSEAS International Conference on Applied Mathematics, (1-6)
- Wang W, Zhao Y and Bunt R HyLog Proceedings of the 3rd USENIX conference on File and storage technologies, (11-11)
- Wang W, Zhao Y and Bunt R HyLog: A High Performance Approach to Managing Disk Layout Proceedings of the 3rd USENIX Conference on File and Storage Technologies, (145-158)
- Li X, Garzarán M and Padua D A Dynamically Tuned Sorting Library Proceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization
- Chen Y and Chen Y Signature file hierarchies and signature graphs Proceedings of the 2004 ACM symposium on Applied computing, (724-728)
- Evans W and Kirkpatrick D (2004). Restructuring ordered binary trees, Journal of Algorithms, 50:2, (168-193), Online publication date: 1-Feb-2004.
- Bender M, Ge D, He S, Hu H, Pinter R, Skiena S and Swidan F Improved bounds on sorting with length-weighted reversals Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms, (919-928)
- Martínez C, Panario D and Viola A Adaptive sampling for quickselect Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms, (447-455)
- Fill J and Janson S The number of bit comparisons used by Quicksort Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms, (300-307)
- Franceschini G Proximity Mergesort Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms, (291-299)
- Tsang W, Hui L, Chow K, Chong C and Tso C Tuning the collision test for power Proceedings of the 27th Australasian conference on Computer science - Volume 26, (23-30)
- Pai T, Chang M, Chu J, Chang W and Tai H Ladderlike stepping and interval jumping searching algorithms for DNA sequences Proceedings of the second conference on Asia-Pacific bioinformatics - Volume 29, (93-98)
- Fukuda K (2004). Box-Ball Systems and Robinson-Schensted-Knuth Correspondence, Journal of Algebraic Combinatorics: An International Journal, 19:1, (67-89), Online publication date: 1-Jan-2004.
- Biedl T, Brejová B, Demaine E, Hamel A, López-Ortiz A and Vinař T (2004). Finding hidden independent sets in interval graphs, Theoretical Computer Science, 310:1-3, (287-307), Online publication date: 1-Jan-2004.
- Sipani S, Verma K, Miller J and Aleman-Meza B (2004). Designing a high-performance database engine for the 'Db4XML' native XML database system, Journal of Systems and Software, 69:1-2, (87-104), Online publication date: 1-Jan-2004.
- Cleary S and Taback J (2003). Bounding restricted rotation distance, Information Processing Letters, 88:5, (251-256), Online publication date: 16-Dec-2003.
- Ginat D (2003). Board reconstruction, ACM SIGCSE Bulletin, 35:4, (25-26), Online publication date: 1-Dec-2003.
- Hjaltason G and Samet H (2003). Index-driven similarity search in metric spaces (Survey Article), ACM Transactions on Database Systems, 28:4, (517-580), Online publication date: 1-Dec-2003.
- Devroye L and Neininger R (2003). Random suffix search trees, Random Structures & Algorithms, 23:4, (357-396), Online publication date: 1-Dec-2003.
- Jensen C, Kolářvr J, Pedersen T and Timko I Nearest neighbor queries in road networks Proceedings of the 11th ACM international symposium on Advances in geographic information systems, (1-8)
- Deschler K and Rundensteiner E MASS Proceedings of the twelfth international conference on Information and knowledge management, (520-523)
- Hwang S and Abraham J (2003). Test data compression and test time reduction using an embedded microprocessor, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 11:5, (853-862), Online publication date: 1-Oct-2003.
- Lerner A and Shasha D AQuery Proceedings of the 29th international conference on Very large data bases - Volume 29, (345-356)
- Jacox E and Samet H (2003). Iterative spatial join, ACM Transactions on Database Systems, 28:3, (230-256), Online publication date: 1-Sep-2003.
- Bar-Noy A and Ladner R (2003). Competitive on-line stream merging algorithms for media-on-demand, Journal of Algorithms, 48:1, (59-90), Online publication date: 1-Aug-2003.
- Yiannis J and Zobel J External sorting with on-the-fly compression Proceedings of the 20th British national conference on Databases, (115-130)
- Kowalski D and Shvartsman A Performing work with asynchronous processors Proceedings of the twenty-second annual symposium on Principles of distributed computing, (265-274)
- Malewicz G A work-optimal deterministic algorithm for the asynchronous certified write-all problem Proceedings of the twenty-second annual symposium on Principles of distributed computing, (255-264)
- Shepherd R and Foster J Inherent fault tolerance in evolved sorting networks Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI, (456-457)
- Abdullahi S, Dyer M and Proll L Listing vertices of simple polyhedra associated with dual LI (2) systems Proceedings of the 4th international conference on Discrete mathematics and theoretical computer science, (89-96)
- Kung J and Yan C (2003). Exact formulas for moments of sums of classical parking functions, Advances in Applied Mathematics, 31:1, (215-241), Online publication date: 1-Jul-2003.
- Buchtala O, Hofmann A and Sick B Fast and efficient training of RBF networks Proceedings of the 2003 joint international conference on Artificial neural networks and neural information processing, (43-51)
- Havran V, Damez C, Myszkowski K and Seidel H An efficient spatio-temporal architecture for animation rendering Proceedings of the 14th Eurographics workshop on Rendering, (106-117)
- Gärtner F and Pagnia H Time-efficient self-stabilizing algorithms through hierarchical structures Proceedings of the 6th international conference on Self-stabilizing systems, (154-168)
- Islam R, Adnan N, Islam N and Hossen S (2003). A new external sorting algorithm with no additional disk space, Information Processing Letters, 86:5, (229-233), Online publication date: 15-Jun-2003.
- Chen J (2003). Optimizing stable in-place merging, Theoretical Computer Science, 302:1-3, (191-210), Online publication date: 13-Jun-2003.
- Jayram T, Khot S, Kumar R and Rabani Y Cell-probe lower bounds for the partial match problem Proceedings of the thirty-fifth annual ACM symposium on Theory of computing, (667-672)
- Ostlin A and Pagh R Uniform hashing in constant time and linear space Proceedings of the thirty-fifth annual ACM symposium on Theory of computing, (622-628)
- Thorup M Integer priority queues with decrease key in constant time and the single source shortest paths problem Proceedings of the thirty-fifth annual ACM symposium on Theory of computing, (149-158)
- Dittrich J, Seeger B, Taylor D and Widmayer P On producing join results early Proceedings of the twenty-second ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems, (134-142)
- Dementiev R and Sanders P Asynchronous parallel disk sorting Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures, (138-148)
- Knüpfer A A new data compression technique for event based program traces Proceedings of the 2003 international conference on Computational science: PartIII, (956-965)
- Qin W and Malik S Automated synthesis of efficient binary decoders for retargetable software toolkits Proceedings of the 40th annual Design Automation Conference, (764-769)
- Garfinkel R, Fernandez E and Gopal R (2003). Design of an interactive spell checker, Decision Support Systems, 35:3, (385-397), Online publication date: 1-Jun-2003.
- Doǧançay K (2003). Complexity considerations for transform-domain adaptive filters, Signal Processing, 83:6, (1177-1192), Online publication date: 1-Jun-2003.
- Devroye L and Morin P (2003). Cuckoo hashing, Information Processing Letters, 86:4, (215-219), Online publication date: 31-May-2003.
- Bishnu A, Das S, Nandy S and Bhattacharya B An improved algorithm for point set pattern matching under rigid motion Proceedings of the 5th Italian conference on Algorithms and complexity, (36-45)
- Crescenzi P, Grossi R and Italiano G Search data structures for skewed strings Proceedings of the 2nd international conference on Experimental and efficient algorithms, (81-96)
- Broder A, Najork M and Wiener J Efficient URL caching for world wide web crawling Proceedings of the 12th international conference on World Wide Web, (679-689)
- Riabov A, Liu Z, Wolf J, Yu P and Zhang L New Algorithms for Content-Based Publication-Subscription Systems Proceedings of the 23rd International Conference on Distributed Computing Systems
- Geldenhuys J and Valmari A A nearly memory-optimal data structure for sets and mappings Proceedings of the 10th international conference on Model checking software, (136-150)
- Effler S and Ruskey F (2003). A CAT algorithm for generating permutations with a fixed number of inversions, Information Processing Letters, 86:2, (107-112), Online publication date: 30-Apr-2003.
- Kwek S and Mehlhorn K (2003). Optimal search for rationals, Information Processing Letters, 86:1, (23-26), Online publication date: 15-Apr-2003.
- Zhou F, Cheng E, Yao B, Cheng C and Graham R A hierarchical three-way interconnect architecture for hexagonal processors Proceedings of the 2003 international workshop on System-level interconnect prediction, (133-139)
- Srisa-an W, Dan Lo C and Chang J (2003). Active Memory Processor, IEEE Transactions on Mobile Computing, 2:2, (89-101), Online publication date: 1-Apr-2003.
- Taylor S and Durand M (2003). Emerging behavior as binary search trees are symmetrically updated, Theoretical Computer Science, 297:1-3, (425-445), Online publication date: 17-Mar-2003.
- Ng V, Law D, Gorla N and Chan C Applying genetic algorithms in database partitioning Proceedings of the 2003 ACM symposium on Applied computing, (544-549)
- Nievergelt Y (2003). Scalar fused multiply-add instructions produce floating-point matrix arithmetic provably accurate to the penultimate digit, ACM Transactions on Mathematical Software, 29:1, (27-48), Online publication date: 1-Mar-2003.
- Moon B, Fernando Vega Lopez I and Immanuel V (2003). Efficient Algorithms for Large-Scale Temporal Aggregation, IEEE Transactions on Knowledge and Data Engineering, 15:3, (744-759), Online publication date: 1-Mar-2003.
- Kato K (2003). Persistently Cached B-Trees, IEEE Transactions on Knowledge and Data Engineering, 15:3, (706-720), Online publication date: 1-Mar-2003.
- Chen C, Bhatia R and Sinha R (2003). Multidimensional Declustering Schemes Using Golden Ratio and Kronecker Sequences, IEEE Transactions on Knowledge and Data Engineering, 15:3, (659-670), Online publication date: 1-Mar-2003.
- Amano K, Maruoka A and Tarui J (2003). On the negation-limited circuit complexity of merging, Discrete Applied Mathematics, 126:1, (3-8), Online publication date: 1-Mar-2003.
- Astrachan O Bubble sort Proceedings of the 34th SIGCSE technical symposium on Computer science education, (1-5)
- Almási G, Caşcaval C and Padua D (2002). Calculating stack distances efficiently, ACM SIGPLAN Notices, 38:2 supplement, (37-43), Online publication date: 15-Feb-2003.
- Durand M (2003). Asymptotic analysis of an optimized quicksort algorithm, Information Processing Letters, 85:2, (73-77), Online publication date: 31-Jan-2003.
- Brodal G and Fagerberg R Lower bounds for external memory dictionaries Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms, (546-554)
- Astrachan O (2003). Bubble sort, ACM SIGCSE Bulletin, 35:1, (1-5), Online publication date: 11-Jan-2003.
- Szwarcfiter J, Navarro G, Baeza-Yates R, Oliveira J, Cunto W and Ziviani N (2003). Optimal binary search trees with costs depending on the access paths, Theoretical Computer Science, 290:3, (1799-1814), Online publication date: 3-Jan-2003.
- Hwang H and Tsai T (2003). An asymptotic theory for recurrence relations based on minimization and maximization, Theoretical Computer Science, 290:3, (1475-1501), Online publication date: 3-Jan-2003.
- Allahverdi A and Al-Anzi F Scheduling requests on multi-stage multi-server to increase quality of service Network control and engineering for Qos, security and mobility II, (14-25)
- Reilly E Sorting Encyclopedia of Computer Science, (1649-1664)
- Reingold E Searching Encyclopedia of Computer Science, (1557-1564)
- Panigrahy R and Sharma S (2003). Sorting and Searching using Ternary CAMs, IEEE Micro, 23:1, (44-53), Online publication date: 1-Jan-2003.
- Chaudhuri J, Nandy S and Das S (2003). Largest empty rectangle among a point set, Journal of Algorithms, 46:1, (54-78), Online publication date: 1-Jan-2003.
- Wickremesinghe R, Arge L, Chase J and Vitter J (2002). Efficient sorting using registers and caches, ACM Journal of Experimental Algorithmics, 7, (9), Online publication date: 31-Dec-2003.
- Edelkamp S and Stiegeler P (2002). Implementing HEAPSORT with (n logn - 0.9n) and QUICKSORT with (n logn + 0.2n) comparisons, ACM Journal of Experimental Algorithmics, 7, (5), Online publication date: 31-Dec-2003.
- Cleary S (2002). Restricted rotation distance between binary trees, Information Processing Letters, 84:6, (333-338), Online publication date: 31-Dec-2003.
- Canard S and Girault M Implementing group signature schemes with smart cards Proceedings of the 5th conference on Smart Card Research and Advanced Application Conference - Volume 5, (1-1)
- Wu K and Yu P Efficient query monitoring using adaptive multiple key hashing Proceedings of the eleventh international conference on Information and knowledge management, (477-484)
- Chen Y, Che D and Aberer K On the efficient evaluation of relaxed queries in biological databases Proceedings of the eleventh international conference on Information and knowledge management, (227-236)
- Mustafa S (2002). Coding schemes variation and its impact on string hashing, Computer Standards & Interfaces, 24:5, (473-481), Online publication date: 1-Nov-2002.
- Bóna M (2002). A simplicial complex of 2-stack sortable permutations, Advances in Applied Mathematics, 29:4, (499-508), Online publication date: 1-Nov-2002.
- Reznik Y (2002). Some results on tries with adaptive branching, Theoretical Computer Science, 289:2, (1009-1026), Online publication date: 30-Oct-2002.
- Knessl C and Szpankowski W (2002). The height of a binary search tree, Theoretical Computer Science, 289:1, (649-703), Online publication date: 23-Oct-2002.
- Xu J and Lipton R (2002). On fundamental tradeoffs between delay bounds and computational complexity in packet scheduling algorithms, ACM SIGCOMM Computer Communication Review, 32:4, (279-292), Online publication date: 1-Oct-2002.
- Khoromskij B and Melenk J (2002). An efficient direct solver for the boundary concentrated FEM in 2D, Computing, 69:2, (91-117), Online publication date: 1-Oct-2002.
- Hamer J (2002). Hashing revisited, ACM SIGCSE Bulletin, 34:3, (80-83), Online publication date: 1-Sep-2002.
- Xu J and Singhal M (2002). Cost-Effective Flow Table Designs for High-Speed Routers, IEEE Transactions on Computers, 51:9, (1089-1099), Online publication date: 1-Sep-2002.
- Jovanov E, Milutinovic V and Hurson A (2002). Acceleration of Nonnumeric Operations Using Hardware Support for the Ordered Table Hashing Algorithms, IEEE Transactions on Computers, 51:9, (1026-1040), Online publication date: 1-Sep-2002.
- Xu J and Lipton R On fundamental tradeoffs between delay bounds and computational complexity in packet scheduling algorithms Proceedings of the 2002 conference on Applications, technologies, architectures, and protocols for computer communications, (279-292)
- Daylight E, Wuytack S, Ykman-Couvreur C and Catthoor F Analyzing energy friendly steady state phases of dynamic application execution in terms of sparse data structures Proceedings of the 2002 international symposium on Low power electronics and design, (76-79)
- Michael M High performance dynamic lock-free hash tables and list-based sets Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures, (73-82)
- Löchner B and Hillenbrand T (2002). A phytography of WALDMEISTER, AI Communications, 15:2,3, (127-133), Online publication date: 1-Aug-2002.
- Pittel B (2002). On the distribution of the number of Young tableaux for a uniformly random diagram, Advances in Applied Mathematics, 29:2, (184-214), Online publication date: 1-Aug-2002.
- Anderson R, Kannan S, Karloff H and Ladner R (2002). Thresholds and optimal binary comparison search trees, Journal of Algorithms, 44:2, (338-358), Online publication date: 1-Aug-2002.
- Wieselthier J, Nguyen G and Ephremides A (2002). Energy-Aware Wireless Networking with Directional Antennas, IEEE Transactions on Mobile Computing, 1:3, (176-191), Online publication date: 1-Jul-2002.
- Litwin W and Risch T (2002). LH*G, IEEE Transactions on Knowledge and Data Engineering, 14:4, (923-927), Online publication date: 1-Jul-2002.
- Bahig H, Daoud S and Khairat M (2002). Parallel Self-Index Integer Sorting, The Journal of Supercomputing, 22:3, (269-275), Online publication date: 1-Jul-2002.
- Allahverdi A and Al-Anzi F (2002). Using two-machine flowshop with maximum lateness objective to model multimedia data objects scheduling problem for WWW Applications, Computers and Operations Research, 29:8, (971-994), Online publication date: 1-Jul-2002.
- Fill J and Janson S (2002). Quicksort asymptotics, Journal of Algorithms, 44:1, (4-28), Online publication date: 1-Jul-2002.
- Knessl C and Szpankowski W (2002). Limit laws for the height in PATRICIA tries, Journal of Algorithms, 44:1, (63-97), Online publication date: 1-Jul-2002.
- Hubalek F, Hwang H, Lew W, Mahmoud H and Prodinger H (2002). A multivariate view of random bucket digital search trees, Journal of Algorithms, 44:1, (121-158), Online publication date: 1-Jul-2002.
- Chern H, Hwang H and Tsai T (2002). An asymptotic theory for Cauchy---Euler differential equations with applications to the analysis of algorithms, Journal of Algorithms, 44:1, (177-225), Online publication date: 1-Jul-2002.
- Rufino J, Pina A, Alves A and Exposto J Distributed paged hash tables Proceedings of the 5th international conference on High performance computing for computational science, (679-693)
- Hamer J Hashing revisited Proceedings of the 7th annual conference on Innovation and technology in computer science education, (80-83)
- Almási G, Caşcaval C and Padua D Calculating stack distances efficiently Proceedings of the 2002 workshop on Memory system performance, (37-43)
- Dowse I and Malone D Recent Filesystem Optimisations on FreeBSD Proceedings of the FREENIX Track: 2002 USENIX Annual Technical Conference, (245-258)
- Luo G, Ellmann C, Haas P and Naughton J A scalable hash ripple join algorithm Proceedings of the 2002 ACM SIGMOD international conference on Management of data, (252-262)
- Dasu T, Johnson T, Muthukrishnan S and Shkapenyuk V Mining database structure; or, how to build a data quality browser Proceedings of the 2002 ACM SIGMOD international conference on Management of data, (240-251)
- Golin M, Kenyon C and Young N Huffman coding with unequal letter costs Proceedings of the thiry-fourth annual ACM symposium on Theory of computing, (785-791)
- Ajtai M, Jayram T, Kumar R and Sivakumar D Approximate counting of inversions in a data stream Proceedings of the thiry-fourth annual ACM symposium on Theory of computing, (370-379)
- Arge L, Bender M, Demaine E, Holland-Minkley B and Munro J Cache-oblivious priority queue and graph algorithm applications Proceedings of the thiry-fourth annual ACM symposium on Theory of computing, (268-276)
- Louchard G (2002). Runs of geometrically distributed random variables, Journal of Computational and Applied Mathematics, 142:1, (137-153), Online publication date: 1-May-2002.
- Hitczenko P, Rousseau C and Savage C (2002). A generating functionology approach to a problem of Wilf, Journal of Computational and Applied Mathematics, 142:1, (107-114), Online publication date: 1-May-2002.
- Devroye L (2002). Laws of large numbers and tail inequalities for random tries and PATRICIA trees, Journal of Computational and Applied Mathematics, 142:1, (27-37), Online publication date: 1-May-2002.
- Cérin C An Out-of-Core Sorting Algorithm for Clusters with Processors at Different Speed Proceedings of the 16th International Parallel and Distributed Processing Symposium
- Czyzowicz J, Kranakis E, Krizanc D, Pelc A and Martin M (2002). Enhancing hyperlink structure for improving web performance, Journal of Web Engineering, 1:2, (93-127), Online publication date: 1-Apr-2002.
- Bóna M (2002). Symmetry and Unimodality in t-Stack Sortable Permutations, Journal of Combinatorial Theory Series A, 98:1, (201-209), Online publication date: 1-Apr-2002.
- Okamoto T, Tada M and Miyaji A An improved fast signature scheme without online multiplication Proceedings of the 6th international conference on Financial cryptography, (152-167)
- Cooper B, Sample N and Shadmon M A parallel index for semistructured data Proceedings of the 2002 ACM symposium on Applied computing, (890-896)
- Aggarwal C, Wolf J and Yu P (2002). Adaptive Piggybacking Schemes for Video-On-Demand Systems, Multimedia Tools and Applications, 16:3, (231-250), Online publication date: 1-Mar-2002.
- Fack V, Lievens S and Van der Jeugt J (2002). On the diameter of the rotation graph of binary coupling trees, Discrete Mathematics, 245:1, (1-18), Online publication date: 28-Feb-2002.
- Fishburn P, Pekeč A and Reeds J (2002). Subset Comparisons for Additive Linear Orders, Mathematics of Operations Research, 27:1, (227-243), Online publication date: 1-Feb-2002.
- Cooper B, Sample N, Franklin M, Olshansky J and Shadmon M (2002). Middle-Tier Extensible Data Management, World Wide Web, 4:3, (209-230), Online publication date: 24-Jan-2002.
- Jiménez-González D, Navarro J and Larriba-Pey J The effect of local sort on parallel sorting algorithms Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing, (360-367)
- Barbay J and Kenyon C Adaptive intersection and t-threshold problems Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms, (390-399)
- Adjih C, Georgiadis L, Jacquet P and Szpankowski W Is the internet fractal? Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms, (338-345)
- Bender M, Duan Z, Iacono J and Wu J A locality-preserving cache-oblivious dynamic dictionary Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms, (29-38)
- Vitter J External memory algorithms Handbook of massive data sets, (359-416)
- Arge L External memory data structures Handbook of massive data sets, (313-357)
- Heinz S and Zobel J (2002). Performance of data structures for small sets of strings, Australian Computer Science Communications, 24:1, (87-94), Online publication date: 1-Jan-2002.
- Heinz S and Zobel J Performance of data structures for small sets of strings Proceedings of the twenty-fifth Australasian conference on Computer science - Volume 4, (87-94)
- Adin R and Roichman Y (2002). Shape Avoiding Permutations, Journal of Combinatorial Theory Series A, 97:1, (162-176), Online publication date: 1-Jan-2002.
- McKay B, Morse J and Wilf H (2002). The Distributions of the Entries of Young Tableaux, Journal of Combinatorial Theory Series A, 97:1, (117-128), Online publication date: 1-Jan-2002.
- Arge L, Toma L and Vitter J (2001). I/O-Efficient Algorithms for Problems on Grid-Based Terrains, ACM Journal of Experimental Algorithmics, 6, (1-es), Online publication date: 31-Dec-2002.
- Aref W and Ilyas I (2001). SP-GiST, Journal of Intelligent Information Systems, 17:2-3, (215-240), Online publication date: 2-Dec-2001.
- Luk R (2001). Time-Space Trade-Off Analysis of Morphic Trie Images, IEEE Transactions on Knowledge and Data Engineering, 13:6, (1028-1032), Online publication date: 1-Nov-2001.
- Hinze R (2001). A simple implementation technique for priority search queues, ACM SIGPLAN Notices, 36:10, (110-121), Online publication date: 1-Oct-2001.
- Hinze R A simple implementation technique for priority search queues Proceedings of the sixth ACM SIGPLAN international conference on Functional programming, (110-121)
- Bercken J, Blohsfeld B, Dittrich J, Krämer J, Schäfer T, Schneider M and Seeger B XXL - A Library Approach to Supporting Efficient Implementations of Advanced Database Queries Proceedings of the 27th International Conference on Very Large Data Bases, (39-48)
- Cooper B, Sample N, Franklin M, Hjaltason G and Shadmon M A Fast Index for Semistructured Data Proceedings of the 27th International Conference on Very Large Data Bases, (341-350)
- Lomet D (2001). The evolution of effective B-tree, ACM SIGMOD Record, 30:3, (64-69), Online publication date: 1-Sep-2001.
- Böhm C, Berchtold S and Keim D (2001). Searching in high-dimensional spaces, ACM Computing Surveys, 33:3, (322-373), Online publication date: 1-Sep-2001.
- Dittrich J and Seeger B GESS Proceedings of the seventh ACM SIGKDD international conference on Knowledge discovery and data mining, (47-56)
- Piotrów M Periodic, random-fault-tolerant correction networks Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures, (298-305)
- Chlebus B, Dobrev S, Kowalski D, Malewicz G, Shvartsman A and Vrto I Towards practical deteministic write-all algorithms Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures, (271-280)
- Carpenter T, Carter R, Cochinwala M and Eiger M (2001). Client-Server Caching with Expiration Timestamps, Distributed and Parallel Databases, 10:1, (5-22), Online publication date: 1-Jul-2001.
- Jiménez-González D, Navarro J and Larrba-Pey J Fast parallel in-memory 64-bit sorting Proceedings of the 15th international conference on Supercomputing, (114-122)
- Vitter J (2001). External memory algorithms and data structures, ACM Computing Surveys, 33:2, (209-271), Online publication date: 1-Jun-2001.
- Mellor-Crummey J, Whalley D and Kennedy K (2001). Improving Memory Hierarchy Performance for Irregular Applications Using Data and Computation Reorderings, International Journal of Parallel Programming, 29:3, (217-247), Online publication date: 1-Jun-2001.
- Bonet B and Geffner H (2001). Planning and Control in Artificial Intelligence, Applied Intelligence, 14:3, (237-252), Online publication date: 9-May-2001.
- Derepas F and Gastin P Model checking systems of replicated processes with spin Proceedings of the 8th international SPIN workshop on Model checking of software, (235-251)
- McMains S, Hellerstein J and Séquin C Out-of-core build of a topological data structure from polygon soup Proceedings of the sixth ACM symposium on Solid modeling and applications, (171-182)
- Kasyanov V (2001). Graph Applications in Programming, Programming and Computing Software, 27:3, (146-164), Online publication date: 1-May-2001.
- Adin R and Roichman Y (2001). Descent Functions and Random Young Tableaux, Combinatorics, Probability and Computing, 10:3, (187-201), Online publication date: 1-May-2001.
- Hsu W, Smith A and Young H (2001). Characteristics of production database workloads and the TPC benchmarks, IBM Systems Journal, 40:3, (781-802), Online publication date: 1-Mar-2001.
- Hsu W, Smith A and Young H (2001). I/O reference behavior of production database workloads and the TPC benchmarks—an analysis at the logical level, ACM Transactions on Database Systems, 26:1, (96-143), Online publication date: 1-Mar-2001.
- Kim S and Won H Batch-construction of B+-trees Proceedings of the 2001 ACM symposium on Applied computing, (231-235)
- Billey S and Warrington G (2001). Kazhdan-Lusztig Polynomials for 321-Hexagon-Avoiding Permutations, Journal of Algebraic Combinatorics: An International Journal, 13:2, (111-136), Online publication date: 1-Mar-2001.
- Aggarwal C, Wolf J and Yu P (2001). The Maximum Factor Queue Length Batching Scheme for Video-on-Demand Systems, IEEE Transactions on Computers, 50:2, (97-110), Online publication date: 1-Feb-2001.
- Rösler U (2001). On the analysis of stochastic divide and conquer algorithms, Algorithmica, 29:1-2, (238-261), Online publication date: 1-Feb-2001.
- Chung F, Graham R and Leighton T Guessing secrets Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms, (723-726)
- Bar-Noy A and Ladner R Competitive on-line stream merging algorithms for media-on-demand Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms, (364-373)
- Ferragina P and Manzini G An experimental study of an opportunistic index Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms, (269-278)
- Arya S, Malamatos T and Mount D A simple entropy-based algorithm for planar point location Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms, (262-268)
- Arya S, Malamatos T and Mount D Entropy-preserving cuttings and space-efficient planar point location Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms, (256-261)
- Vitter J and Hutchinson D Distribution sort with randomized cycle Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms, (77-86)
- Siltaneva J and Mäkinen E (2000). A note on the expected distribution of degrees in random binary trees, ACM SIGCSE Bulletin, 32:4, (32-33), Online publication date: 1-Dec-2000.
- Olariu S, Pinotti C and Zheng S (2000). An Optimal Hardware-Algorithm for Sorting Using a Fixed-Size Parallel Sorting Device, IEEE Transactions on Computers, 49:12, (1310-1324), Online publication date: 1-Dec-2000.
- Buldas A, Laud P and Lipmaa H Accountable certificate management using undeniable attestations Proceedings of the 7th ACM conference on Computer and Communications Security, (9-17)
- Lever C Linux Kernel hash table behavior Proceedings of the 4th annual Linux Showcase & Conference - Volume 4, (2-2)
- Jiang T, Li M and Vitányi P (2000). A lower bound on the average-case complexity of shellsort, Journal of the ACM, 47:5, (905-911), Online publication date: 1-Sep-2000.
- Fan C and Bruck J (2000). Tolerating Multiple Faults in Multistage Interconnection Networks with Minimal Extra Stages, IEEE Transactions on Computers, 49:9, (998-1004), Online publication date: 1-Sep-2000.
- Grassi V Prefetching policies for energy saving and latency reduction in a wireless broadcast data delivery system Proceedings of the 3rd ACM international workshop on Modeling, analysis and simulation of wireless and mobile systems, (77-84)
- Sanders P Asynchronous scheduling of redundant disk arrays Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures, (89-98)
- Collins G and Krandick W Multiprecision floating point addition Proceedings of the 2000 international symposium on Symbolic and algebraic computation, (71-77)
- Edahiro M, Matsushita S, Yamashina M and Nishi N (2000). A Single-Chip Multiprocessor for Smart Terminals, IEEE Micro, 20:4, (12-20), Online publication date: 1-Jul-2000.
- Leung H, Feng L and Li Q Analysis of Distributed Database Access Histories for Buffer Allocation Proceedings of the First International Conference on Web Information Systems Engineering (WISE'00)-Volume 2 - Volume 2
- Jagadish H, Koudas N and Srivastava D (2000). On effective multi-dimensional indexing for strings, ACM SIGMOD Record, 29:2, (403-414), Online publication date: 1-Jun-2000.
- Litwin W and Schwarz T (2000). LH*RS, ACM SIGMOD Record, 29:2, (237-248), Online publication date: 1-Jun-2000.
- Noronha V (2000). Towards ITS Map Database Interoperability—Database Error and Rectification, Geoinformatica, 4:2, (201-213), Online publication date: 1-Jun-2000.
- Jagadish H, Koudas N and Srivastava D On effective multi-dimensional indexing for strings Proceedings of the 2000 ACM SIGMOD international conference on Management of data, (403-414)
- Litwin W and Schwarz T LH*RS Proceedings of the 2000 ACM SIGMOD international conference on Management of data, (237-248)
- Reed B How tall is a tree? Proceedings of the thirty-second annual ACM symposium on Theory of computing, (479-483)
- Merzbacher M Teaching database management systems with Java Proceedings of the thirty-first SIGCSE technical symposium on Computer science education, (31-35)
- Lee J and Batcher K (2000). Minimizing Communication in the Bitonic Sort, IEEE Transactions on Parallel and Distributed Systems, 11:5, (459-474), Online publication date: 1-May-2000.
- Grammatikakis M and Liesche S (2000). Priority Queues and Sorting Methods for Parallel Simulation, IEEE Transactions on Software Engineering, 26:5, (401-422), Online publication date: 1-May-2000.
- Yamashita T and Matsumoto Y Language independent morphological analysis Proceedings of the sixth conference on Applied natural language processing, (232-238)
- Merzbacher M (2000). Teaching database management systems with Java, ACM SIGCSE Bulletin, 32:1, (31-35), Online publication date: 1-Mar-2000.
- Sanders P, Egner S and Korst J Fast concurrent access to parallel disks Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms, (849-858)
- Demaine E, López-Ortiz A and Munro J Adaptive set intersections, unions, and differences Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms, (743-752)
- Thorup M Even strongly universal hashing is pretty fast Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms, (496-497)
- Evans W and Kirkpatrick D Restructuring ordered binary trees Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms, (477-486)
- Knessl C and Szpankowski W Height in a digital search tree and the longest phrase of the Lempel-Ziv scheme Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms, (187-196)
- Theodoridis Y, Stefanakis E and Sellis T (2000). Efficient Cost Models for Spatial Queries Using R-Trees, IEEE Transactions on Knowledge and Data Engineering, 12:1, (19-32), Online publication date: 1-Jan-2000.
- JaJa J (2000). A Perspective on Quicksort, Computing in Science and Engineering, 2:1, (43-49), Online publication date: 1-Jan-2000.
- Vöcking B How Asymmetry Helps Load Balancing Proceedings of the 40th Annual Symposium on Foundations of Computer Science
- Barve R and Vitter J A Theoretical Framework for Memory-Adaptive Algorithms Proceedings of the 40th Annual Symposium on Foundations of Computer Science
- Horváth T Arithmetic Design for Permutation Groups Proceedings of the First International Workshop on Cryptographic Hardware and Embedded Systems, (109-121)
- Hu Q, Lee D and Lee W Performance evaluation of a wireless hierarchical data dissemination system Proceedings of the 5th annual ACM/IEEE international conference on Mobile computing and networking, (163-173)
- Aggarwal C, Wolf J, Yu P and Epelman M (1999). Using Unbalanced Trees for Indexing Multidimensional Objects, Knowledge and Information Systems, 1:3, (309-336), Online publication date: 1-Aug-1999.
- Amano K, Maruoka A and Tarui J On the negation-limited circuit complexity of merging Proceedings of the 5th annual international conference on Computing and combinatorics, (204-209)
- Zheng S, Calidas B and Zhang Y (1999). An Efficient General In-Place Parallel Sorting Scheme, The Journal of Supercomputing, 14:1, (5-17), Online publication date: 1-Jul-1999.
- Mellor-Crummey J, Whalley D and Kennedy K Improving memory hierarchy performance for irregular applications Proceedings of the 13th international conference on Supercomputing, (425-433)
- Jiménez-González D, Larriba-Pey J and Navarro J Communication conscious radix sort Proceedings of the 13th international conference on Supercomputing, (76-82)
- Barve R and Vitter J A simple and efficient parallel disk mergesort Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures, (232-241)
- Busch C and Herlihy M Sorting and counting networks of small depth and arbitrary width Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures, (64-73)
- Fiore P (1999). Parallel Multiplication Using Fast Sorting Networks, IEEE Transactions on Computers, 48:6, (640-645), Online publication date: 1-Jun-1999.
- Malmi L and Soisalon-Soininen E Group updates for relaxed height-balanced trees Proceedings of the eighteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems, (358-367)
- Muthukrishnan S, Paterson M, Sahinalp S and Suel T Compact grid layouts of multi-level networks Proceedings of the thirty-first annual ACM symposium on Theory of Computing, (455-463)
- Olariu S, Pinotti M and Zheng S (1999). How to Sort N Items Using a Sorting Network of Fixed I/O Size, IEEE Transactions on Parallel and Distributed Systems, 10:5, (487-499), Online publication date: 1-May-1999.
- Herrmann C and Lengauer C (1999). Parallelization of divide-and-conquer by translation to nested loops, Journal of Functional Programming, 9:3, (279-310), Online publication date: 1-May-1999.
- Burkhardt S, Crauser A, Ferragina P, Lenhof H, Rivals E and Vingron M q-gram based database searching using a suffix array (QUASAR) Proceedings of the third annual international conference on Computational molecular biology, (77-83)
- Levitin A Do we teach the right algorithm design techniques? The proceedings of the thirtieth SIGCSE technical symposium on Computer science education, (179-183)
- Levitin A (1999). Do we teach the right algorithm design techniques?, ACM SIGCSE Bulletin, 31:1, (179-183), Online publication date: 1-Mar-1999.
- Heileman G, Abdallah C, Moret B and Smith B Dynamical system representation of open address hash functions Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms, (919-920)
- Ladner R, Fix J and LaMarca A Cache performance analysis of traversals and random accesses Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms, (613-622)
- Kenyon C and Schabanel N The data broadcast problem with non-uniform transmission times Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms, (547-556)
- Cole R, Hariharan R and Indyk P Tree pattern matching and subset matching in deterministic O(n log3 n)-time Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms, (245-254)
- Puschner P (1999). Real-Time Performance of Sorting Algorithms, Real-Time Systems, 16:1, (63-79), Online publication date: 1-Jan-1999.
- Jacob B and Mudge T (1998). A look at several memory management units, TLB-refill mechanisms, and page table organizations, ACM SIGOPS Operating Systems Review, 32:5, (295-306), Online publication date: 1-Dec-1998.
- Jacob B and Mudge T (1998). A look at several memory management units, TLB-refill mechanisms, and page table organizations, ACM SIGPLAN Notices, 33:11, (295-306), Online publication date: 1-Nov-1998.
- Chen Y and Aberer K Layered index structures in document database systems Proceedings of the seventh international conference on Information and knowledge management, (406-413)
- Kim K and Cha S Sibling clustering of tree-based spatial indexes for efficient spatial query processing Proceedings of the seventh international conference on Information and knowledge management, (398-405)
- Harada L, Akaboshi N, Ogihara K and Take R Dynamic skew handling in parallel mining of association rules Proceedings of the seventh international conference on Information and knowledge management, (76-85)
- Fix J and Ladner R (1998). Sorting by Parallel Insertion on a One-Dimensional Subbus Array, IEEE Transactions on Computers, 47:11, (1267-1281), Online publication date: 1-Nov-1998.
- Lefèvre V, Muller J and Tisserand A (1998). Toward Correctly Rounded Transcendentals, IEEE Transactions on Computers, 47:11, (1235-1243), Online publication date: 1-Nov-1998.
- Su C and Tassiulas L Joint broadcast scheduling and user's cache management for efficient information delivery Proceedings of the 4th annual ACM/IEEE international conference on Mobile computing and networking, (33-42)
- Jacob B and Mudge T A look at several memory management units, TLB-refill mechanisms, and page table organizations Proceedings of the eighth international conference on Architectural support for programming languages and operating systems, (295-306)
- Smith J A study of branch prediction strategies 25 years of the international symposia on Computer architecture (selected papers), (202-215)
- Heckbert P Color image quantization for frame buffer display Seminal graphics: pioneering efforts that shaped the field, (335-345)
- Thesen A (1998). Design and Evaluation of Tabu Search Algorithms forMultiprocessor Scheduling, Journal of Heuristics, 4:2, (141-160), Online publication date: 1-Jul-1998.
- Hadjimitsis L and Mavronicolas M Contention in balancing networks resolved (extended abstract) Proceedings of the seventeenth annual ACM symposium on Principles of distributed computing, (41-50)
- Even S, Muthukrishnan S, Paterson M and Sahinalp S Layout of the batcher bitonic sorter (extended abstract) Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures, (172-181)
- Dittrich W, Hutchinson D and Maheshwari A Blocking in parallel multisearch problems (extended abstract) Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures, (98-107)
- Rajasekaran S A framework for simple sorting algorithms on parallel disk systems (extended abstract) Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures, (88-97)
- Larson P and Graefe G (1998). Memory management during run generation in external sorting, ACM SIGMOD Record, 27:2, (472-483), Online publication date: 1-Jun-1998.
- Larson P and Graefe G Memory management during run generation in external sorting Proceedings of the 1998 ACM SIGMOD international conference on Management of data, (472-483)
- Charikar M, Khuller S and Raghavachari B Algorithms for capacitated vehicle routing Proceedings of the thirtieth annual ACM symposium on Theory of computing, (349-358)
- Vitter J External memory algorithms Proceedings of the seventeenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems, (119-128)
- Koutsoupias E and Taylor D Tight bounds for 2-dimensional indexing schemes Proceedings of the seventeenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems, (52-58)
- Hwang H (1998). On Convergence Rates in the Central Limit Theorems for Combinatorial Structures, European Journal of Combinatorics, 19:3, (329-343), Online publication date: 1-Apr-1998.
- Ferri F and Albert J (1998). Average-case analysis in an elementary course on algorithms, ACM SIGCSE Bulletin, 30:1, (202-206), Online publication date: 1-Mar-1998.
- Ferri F and Albert J Average-case analysis in an elementary course on algorithms Proceedings of the twenty-ninth SIGCSE technical symposium on Computer science education, (202-206)
- Kirschenhofer P and Prodinger H (1998). Comparisons in Hoare's Find Algorithm, Combinatorics, Probability and Computing, 7:1, (111-120), Online publication date: 1-Mar-1998.
- Clément J, Flajolet P and Vallée B The analysis of hybrid trie structures Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms, (531-539)
- Aaltonen A, Hyrskykari A and Räihä K 101 spots, or how do users read menus? Proceedings of the SIGCHI Conference on Human Factors in Computing Systems, (132-139)
- Magnusson P Efficient instruction cache simulation and execution profiling with a threaded-code interpreter Proceedings of the 29th conference on Winter simulation, (1093-1100)
- Fernández A and Efe K (1997). Generalized Algorithm for Parallel Sorting on Product Networks, IEEE Transactions on Parallel and Distributed Systems, 8:12, (1211-1225), Online publication date: 1-Dec-1997.
- Ramakrishna M, Fu E and Bahcekapili E (1997). Efficient Hardware Hashing Functions for High Performance Computers, IEEE Transactions on Computers, 46:12, (1378-1381), Online publication date: 1-Dec-1997.
- Barve R, Kallahalla M, Varman P and Vitter J Competitive parallel disk prefetching and buffer management Proceedings of the fifth workshop on I/O in parallel and distributed systems, (47-56)
- Hsu D and Wei D (1997). Efficient Routing and Sorting Schemes for de Bruijn Networks, IEEE Transactions on Parallel and Distributed Systems, 8:11, (1157-1170), Online publication date: 1-Nov-1997.
- Liao W and Li V (1997). The Split and Merge Protocol for Interactive Video-on-Demand, IEEE MultiMedia, 4:4, (51-62), Online publication date: 1-Oct-1997.
- Lalonde P and Fournier A (1997). A Wavelet Representation of Reflectance Functions, IEEE Transactions on Visualization and Computer Graphics, 3:4, (329-336), Online publication date: 1-Oct-1997.
- De M, Das D, Ghosh M and Sinha B (1997). An Efficient Sorting Algorithm on the Multi-Mesh Network, IEEE Transactions on Computers, 46:10, (1132-1137), Online publication date: 1-Oct-1997.
- Goldin L and Berry D (1997). AbstFinder, A Prototype Natural Language Text Abstraction Finder for Use in Requirements Elicitation, Automated Software Engineering, 4:4, (375-412), Online publication date: 1-Oct-1997.
- Lim W Discovery of Constraints from Data for Information System Reverse Engineering Proceedings of the Australian Software Engineering Conference
- Park J, Chen M and Yu P (1997). Using a Hash-Based Method with Transaction Trimming for Mining Association Rules, IEEE Transactions on Knowledge and Data Engineering, 9:5, (813-825), Online publication date: 1-Sep-1997.
- Mahapatra N and Dutt S (1997). Scalable Global and Local Hashing Strategies for Duplicate Pruning in Parallel A* Graph Search, IEEE Transactions on Parallel and Distributed Systems, 8:7, (738-756), Online publication date: 1-Jul-1997.
- Jiang F, Horng S and Kao T (1997). Embedding of Generalized Fibonacci Cubes in Hypercubes with Faulty Nodes, IEEE Transactions on Parallel and Distributed Systems, 8:7, (727-737), Online publication date: 1-Jul-1997.
- Wise D, Heck B, Hess C, Hunt W and Ost E (1997). Research Demonstration of a Hardware Reference-Counting Heap, Lisp and Symbolic Computation, 10:2, (159-181), Online publication date: 1-Jul-1997.
- Bird R (1997). FUNCTIONAL PEARL, Journal of Functional Programming, 7:4, (441-445), Online publication date: 1-Jul-1997.
- Cutting D and Pedersen J Space optimizations for total ranking Computer-Assisted Information Searching on Internet, (401-412)
- Carey M and Kossmann D (1997). On saying “Enough already!” in SQL, ACM SIGMOD Record, 26:2, (219-230), Online publication date: 1-Jun-1997.
- Carey M and Kossmann D On saying “Enough already!” in SQL Proceedings of the 1997 ACM SIGMOD international conference on Management of data, (219-230)
- Indyk P, Motwani R, Raghavan P and Vempala S Locality-preserving hashing in multidimensional spaces Proceedings of the twenty-ninth annual ACM symposium on Theory of computing, (618-625)
- Arge L, Ferragina P, Grossi R and Vitter J On sorting strings in external memory (extended abstract) Proceedings of the twenty-ninth annual ACM symposium on Theory of computing, (540-548)
- Maggs B and Vöcking B Improved routing and sorting on multibutterflies Proceedings of the twenty-ninth annual ACM symposium on Theory of computing, (517-530)
- Hellerstein J, Koutsoupias E and Papadimitriou C On the analysis of indexing schemes Proceedings of the sixteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems, (249-256)
- Liao W and Li V The Split and Merge (SAM) Protocol for Interactive Video-on-Demand Systems Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
- Su C and Tassiulas L Broadcast Scheduling for Information Distribution Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
- Kimm H Maximum crossing number algorithm on linear array with a reconfigurable pipelined bus system Proceedings of the 35th Annual Southeast Regional Conference, (60-62)
- Holmes G and Smith T (1997). Adding some spice to CS1 curricula, ACM SIGCSE Bulletin, 29:1, (204-208), Online publication date: 1-Mar-1997.
- Holmes G and Smith T Adding some spice to CS1 curricula Proceedings of the twenty-eighth SIGCSE technical symposium on Computer science education, (204-208)
- Bornberg-Bauer E Chain growth algorithms for HP-type lattice proteins Proceedings of the first annual international conference on Computational molecular biology, (47-55)
- Vo K Cdt Proceedings of the annual conference on USENIX Annual Technical Conference, (12-12)
- LaMarca A and Ladner R The influence of caches on the performance of sorting Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms, (370-379)
- Bentley J and Sedgewick R Fast algorithms for sorting and searching strings Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms, (360-369)
- Jacquet P, Minet P, Mühlethaler P and Rivierre N (1997). Priority and Collision Detection with Active Signaling - The Channel Access Mechanism of HIPERLAN, Wireless Personal Communications: An International Journal, 4:1, (11-25), Online publication date: 1-Jan-1997.
- Keim D and Kriegel H (1996). Visualization Techniques for Mining Large Databases, IEEE Transactions on Knowledge and Data Engineering, 8:6, (923-938), Online publication date: 1-Dec-1996.
- Beresford-Smith B, Diessel O and ElGindy H (1996). Optimal Algorithms for Constrained Reconfigurable Meshes, Journal of Parallel and Distributed Computing, 39:1, (74-78), Online publication date: 1-Nov-1996.
- Ma Y An O(nlogn)-size fault-tolerant sorting network (extended abstract) Proceedings of the twenty-eighth annual ACM symposium on Theory of Computing, (266-275)
- Kiraz G SEMHE Proceedings of the 34th annual meeting on Association for Computational Linguistics, (159-166)
- Gerbessiotis A and Siniolakis C Deterministic sorting and randomized median finding on the BSP model Proceedings of the eighth annual ACM symposium on Parallel Algorithms and Architectures, (223-232)
- Barve R, Grove E and Vitter J Simple randomized mergesort on parallel disks Proceedings of the eighth annual ACM symposium on Parallel Algorithms and Architectures, (109-118)
- Theodoridis Y and Sellis T A model for the prediction of R-tree performance Proceedings of the fifteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems, (161-171)
- Krishnan P, Vitter J and Iyer B (1996). Estimating alphanumeric selectivity in the presence of wildcards, ACM SIGMOD Record, 25:2, (282-293), Online publication date: 1-Jun-1996.
- Krishnan P, Vitter J and Iyer B Estimating alphanumeric selectivity in the presence of wildcards Proceedings of the 1996 ACM SIGMOD international conference on Management of data, (282-293)
- Aoe J, Morimoto K, Shishibori M and Park K (1996). A Trie Compaction Algorithm for a Large Set of Keys, IEEE Transactions on Knowledge and Data Engineering, 8:3, (476-491), Online publication date: 1-Jun-1996.
- Van Oorschot P and Wiener M On diffie-hellman key agreement with short exponents Proceedings of the 15th annual international conference on Theory and application of cryptographic techniques, (332-343)
- Cheng W and Chen W (1996). A New Self-Routing Permutation Network, IEEE Transactions on Computers, 45:5, (630-636), Online publication date: 1-May-1996.
- Sprugnoli R (1996). Recurrence relations on heaps, Algorithmica, 15:5, (467-480), Online publication date: 1-May-1996.
- Lytton W (1996). Optimizing synaptic conductance calculation for network simulations, Neural Computation, 8:3, (501-509), Online publication date: 1-Apr-1996.
- Shene C (1996). A comparative study of linked list sorting algorithms, 3C ON-LINE, 3:2, (4-9), Online publication date: 1-Apr-1996.
- Zheng L and Larson P (1996). Speeding Up External Mergesort, IEEE Transactions on Knowledge and Data Engineering, 8:2, (322-332), Online publication date: 1-Apr-1996.
- Piestrak S (1996). Design of Self-Testing Checkers for Borden Codes, IEEE Transactions on Computers, 45:4, (461-469), Online publication date: 1-Apr-1996.
- Vaishnavi V (1996). Onk-Dimensional Balanced Binary Trees, Journal of Computer and System Sciences, 52:2, (328-348), Online publication date: 1-Apr-1996.
- Katajainen J, Pasanen T and Teuhola J (1996). Practical in-place mergesort, Nordic Journal of Computing, 3:1, (27-40), Online publication date: 1-Mar-1996.
- Berman A and Duvall R (1996). Thinking about binary trees in an object-oriented world, ACM SIGCSE Bulletin, 28:1, (185-189), Online publication date: 1-Mar-1996.
- Berman A and Duvall R Thinking about binary trees in an object-oriented world Proceedings of the twenty-seventh SIGCSE technical symposium on Computer science education, (185-189)
- Latifi S and Srimani P (1996). Transposition Networks as a Class of Fault-Tolerant Robust Networks, IEEE Transactions on Computers, 45:2, (230-238), Online publication date: 1-Feb-1996.
- Clark D and Munro J Efficient suffix trees on secondary storage Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms, (383-391)
- Ferragina P and Grossi R Fast string searching in secondary storage Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms, (373-382)
- Piotrów M Depth optimal sorting networks resistant to k passive faults Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms, (242-251)
- Brodal G Worst-case efficient priority queues Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms, (52-58)
- Galtier J Automatic partitioning techniques for solving partial differential equations on irregular adaptive meshes Proceedings of the 10th international conference on Supercomputing, (157-164)
- LaMarca A and Ladner R (1996). The influence of caches on the performance of heaps, ACM Journal of Experimental Algorithmics, 1, (4-es), Online publication date: 1-Jan-1996.
- Talluri M, Hill M and Khalidi Y (1995). A new page table for 64-bit address spaces, ACM SIGOPS Operating Systems Review, 29:5, (184-200), Online publication date: 3-Dec-1995.
- Talluri M, Hill M and Khalidi Y A new page table for 64-bit address spaces Proceedings of the fifteenth ACM symposium on Operating systems principles, (184-200)
- Teuhola J Effective clustering of objects stored by linear hashing Proceedings of the fourth international conference on Information and knowledge management, (274-280)
- Nigam M and Sahni S (1995). Sorting n2 Numbers on n x n Meshes, IEEE Transactions on Parallel and Distributed Systems, 6:12, (1221-1225), Online publication date: 1-Dec-1995.
- Lee C and Chang Z (1995). Utilizing Page-Level Join Index for Optimization in Parallel Join Execution, IEEE Transactions on Knowledge and Data Engineering, 7:6, (900-914), Online publication date: 1-Dec-1995.
- Yen I and Bastani F (1995). Parallel Hashing, Journal of Parallel and Distributed Computing, 31:2, (190-198), Online publication date: 1-Dec-1995.
- Das Sharma D and Pradhan D (1995). Processor Allocation in Hypercube Multicomputers, IEEE Transactions on Parallel and Distributed Systems, 6:10, (1108-1122), Online publication date: 1-Oct-1995.
- Sen Gupta I, Roy Chowdhury D and Pal Chaudhuri P (1995). A Low-Cost High-Capacity Associative Memory Design Using Cellular Automata, IEEE Transactions on Computers, 44:10, (1260-1264), Online publication date: 1-Oct-1995.
- Panny W and Prodinger H (1995). Bottom-up mergesort -- A detailed analysis, Algorithmica, 14:4, (340-354), Online publication date: 1-Oct-1995.
- Leighton T, Ma Y and Suel T On probabilistic networks for selection, merging, and sorting Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures, (106-118)
- Flajolet P, Gourdon X and Dumas P (1995). Mellin transforms and asymptotics, Theoretical Computer Science, 144:1, (3-58), Online publication date: 26-Jun-1995.
- Aref W, Barbará D and Vallabhaneni P The handwritten trie Proceedings of the 1995 ACM SIGMOD international conference on Management of data, (151-162)
- Graefe G and Cole R (1995). Fast algorithms for universal quantification in large databases, ACM Transactions on Database Systems, 20:2, (187-236), Online publication date: 1-Jun-1995.
- Sacks-Davis R, Kent A, Ramamohanarao K, Thom J and Zobel J (1995). Atlas, IEEE Transactions on Knowledge and Data Engineering, 7:3, (454-470), Online publication date: 1-Jun-1995.
- Ferragina P and Grossi R A fully-dynamic data structure for external substring search Proceedings of the twenty-seventh annual ACM symposium on Theory of computing, (693-702)
- Kahale N, Leighton T, Ma Y, Plaxton C, Suel T and Szemerédi E Lower bounds for sorting networks Proceedings of the twenty-seventh annual ACM symposium on Theory of computing, (437-446)
- Andersson A, Hagerup T, Nilsson S and Raman R Sorting in linear time? Proceedings of the twenty-seventh annual ACM symposium on Theory of computing, (427-436)
- Aref W, Barbará D and Vallabhaneni P (1995). The handwritten trie, ACM SIGMOD Record, 24:2, (151-162), Online publication date: 22-May-1995.
- Myllymaki J and Livny M Disk-tape joins Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, (279-290)
- Wolf J, Yu P and Shachnai H DASD dancing Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, (157-166)
- Myllymaki J and Livny M (1995). Disk-tape joins, ACM SIGMETRICS Performance Evaluation Review, 23:1, (279-290), Online publication date: 1-May-1995.
- Wolf J, Yu P and Shachnai H (1995). DASD dancing, ACM SIGMETRICS Performance Evaluation Review, 23:1, (157-166), Online publication date: 1-May-1995.
- Soucy M and Laurendeau D (1995). A General Surface Approach to the Integration of a Set of Range Views, IEEE Transactions on Pattern Analysis and Machine Intelligence, 17:4, (344-358), Online publication date: 1-Apr-1995.
- LeVeque R and Shyue K (1995). One-Dimensional Front Tracking Based on High Resolution Wave Propagation Methods, SIAM Journal on Scientific Computing, 16:2, (348-377), Online publication date: 1-Mar-1995.
- Fortes J and Cam H (1995). A Fast VLSI-Efficient Self-Routing Permutation Network, IEEE Transactions on Computers, 44:3, (448-453), Online publication date: 1-Mar-1995.
- Okeefe M and Dietz H (1995). Static Barrier MIMD, Journal of Parallel and Distributed Computing, 25:2, (126-132), Online publication date: 1-Mar-1995.
- Vagelatos A, Triantopoulou T, Tsalidis C and Christodoulakis D Utilization of a lexicon for spelling correction in modern Greek Proceedings of the 1995 ACM symposium on Applied computing, (267-271)
- Panda B and Perrizo W Query execution in prism and seaview Proceedings of the 1995 ACM symposium on Applied computing, (65-70)
- Lee D and Batcher K (1995). A Multiway Merge Sorting Network, IEEE Transactions on Parallel and Distributed Systems, 6:2, (211-215), Online publication date: 1-Feb-1995.
- Jeong B and Omiecinski E (1995). Inverted File Partitioning Schemes in Multiple Disk Systems, IEEE Transactions on Parallel and Distributed Systems, 6:2, (142-153), Online publication date: 1-Feb-1995.
- Fix J and Ladner R Optimal one-way sorting on a one-dimensional sub-bus array Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms, (586-594)
- Andersson A and Petersson O On-line approximate list indexing with applications Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms, (20-27)
- Siegel A On the statistical dependencies of coalesced hashing and their implications for both full and limited independence Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms, (10-19)
- Procter R and Wilson D (1995). Interpretation of a basic hypergeometric identity with Lie characters and Young tableaux, Discrete Mathematics, 137:1, (297-302), Online publication date: 20-Jan-1995.
- Wolf J, Dias D, Yu P and Turek J (1994). New Algorithms for Parallelizing Relational Database Joins in the Presence of Data Skew, IEEE Transactions on Knowledge and Data Engineering, 6:6, (990-997), Online publication date: 1-Dec-1994.
- Graefe G, Linville A and Shapiro L (1994). Sort vs. Hash Revisited, IEEE Transactions on Knowledge and Data Engineering, 6:6, (934-944), Online publication date: 1-Dec-1994.
- Lu H and Tan K (1994). Load-Balanced Join Processing in Shared-Nothing Systems, Journal of Parallel and Distributed Computing, 23:3, (382-398), Online publication date: 1-Dec-1994.
- van Oorschot P and Wiener M Parallel collision search with application to hash functions and discrete logarithms Proceedings of the 2nd ACM Conference on Computer and communications security, (210-218)
- Yan W and Larson P Data reduction through early grouping Proceedings of the 1994 conference of the Centre for Advanced Studies on Collaborative research
- Flajolet P, Zimmermann P and Van Cutsem B (1994). A calculus for the random generation of labelled combinatorial structures, Theoretical Computer Science, 132:1, (1-35), Online publication date: 26-Sep-1994.
- Chen S, Fuchs W and Hwu W An Analytical Approach to Scheduling Code for Superscalar and VLIW Architectures Proceedings of the 1994 International Conference on Parallel Processing - Volume 01, (285-292)
- Lee D and Batcher K On Sorting Multiple Bitonic Sequences Proceedings of the 1994 International Conference on Parallel Processing - Volume 01, (121-125)
- Ierardi D 2d-bubblesorting in average time O(√N lg N)* Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures, (36-45)
- Du M and Chang S (1994). An Approach to Designing Very Fast Approximate String Matching Algorithms, IEEE Transactions on Knowledge and Data Engineering, 6:4, (620-633), Online publication date: 1-Aug-1994.
- Spuler D (1994). Optimal search trees using two-way key comparisons, Acta Informatica, 31:8, (729-740), Online publication date: 1-Aug-1994.
- Ke Q, Akl S and Meijer H (1994). On Some Properties and Algorithms for the Star and Pancake Interconnection Networks, Journal of Parallel and Distributed Computing, 22:1, (16-25), Online publication date: 1-Jul-1994.
- Jain V and Shneiderman B Data structures for dynamic queries Proceedings of the workshop on Advanced visual interfaces, (1-11)
- McIver W and King R (1994). Self-adaptive, on-line reclustering of complex object data, ACM SIGMOD Record, 23:2, (407-418), Online publication date: 1-Jun-1994.
- Kröll B and Widmayer P (1994). Distributing a search tree among a growing number of processors, ACM SIGMOD Record, 23:2, (265-276), Online publication date: 1-Jun-1994.
- Swami A and Schiefer K (1994). Estimating page fetches for index scans with finite LRU buffers, ACM SIGMOD Record, 23:2, (173-184), Online publication date: 1-Jun-1994.
- Raman R (1994). A simpler analysis of algorithm 65 (find), ACM SIGACT News, 25:2, (86-89), Online publication date: 1-Jun-1994.
- Chien M and Yavuz Oruc A (1994). Adaptive Binary Sorting Schemes and Associated Interconnection Networks, IEEE Transactions on Parallel and Distributed Systems, 5:6, (561-572), Online publication date: 1-Jun-1994.
- McIver W and King R Self-adaptive, on-line reclustering of complex object data Proceedings of the 1994 ACM SIGMOD international conference on Management of data, (407-418)
- Kröll B and Widmayer P Distributing a search tree among a growing number of processors Proceedings of the 1994 ACM SIGMOD international conference on Management of data, (265-276)
- Swami A and Schiefer K Estimating page fetches for index scans with finite LRU buffers Proceedings of the 1994 ACM SIGMOD international conference on Management of data, (173-184)
- Jacquet P and Szpankowski W A functional equation often arising in the analysis of algorithms (extended abstract) Proceedings of the twenty-sixth annual ACM symposium on Theory of Computing, (780-789)
- Hagerup T Optimal parallel string algorithms Proceedings of the twenty-sixth annual ACM symposium on Theory of Computing, (382-391)
- Odlyzko A Search for the maximum of a random walk Proceedings of the twenty-sixth annual ACM symposium on Theory of Computing, (336-345)
- Katajainen J and Pasanen T (1994). Sorting multisets stably in minimum space, Acta Informatica, 31:4, (301-313), Online publication date: 1-Apr-1994.
- Gupta R, Smolka S and Bhaskar S (1994). On randomization in sequential and distributed algorithms, ACM Computing Surveys, 26:1, (7-86), Online publication date: 1-Mar-1994.
- Farach M and Thorup M Fast comparison of evolutionary trees Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms, (481-488)
- Pidd M, de Silva F and Eglese R CEMPS Proceedings of the 25th conference on Winter simulation, (1319-1323)
- Barlos F and Frieder O On the development of a site selection optimizer for distributed and parallel database systems Proceedings of the second international conference on Information and knowledge management, (684-693)
- Shum C Quick and incomplete responses Proceedings of the second international conference on Information and knowledge management, (39-48)
- Wolf J, Yu P, Turek J and Dias D (1993). A Parallel Hash Join Algorithm for Managing Data Skew, IEEE Transactions on Parallel and Distributed Systems, 4:12, (1355-1371), Online publication date: 1-Dec-1993.
- Flajolet P, Gonnet G, Puech C and Robson J (1993). Analytic variations on quadtrees, Algorithmica, 10:6, (473-500), Online publication date: 1-Dec-1993.
- Odeh M and Padget J (1993). Object-oriented execution of OPS5 production systems, ACM SIGPLAN Notices, 28:10, (178-190), Online publication date: 1-Oct-1993.
- Odeh M and Padget J Object-oriented execution of OPS5 production systems Proceedings of the eighth annual conference on Object-oriented programming systems, languages, and applications, (178-190)
- Bieri H and Grimm F (1993). Approaching classical algorithms in APL2, ACM SIGAPL APL Quote Quad, 24:1, (33-40), Online publication date: 1-Sep-1993.
- Bieri H and Grimm F Approaching classical algorithms in APL2 Proceedings of the international conference on APL, (33-40)
- Sheffler T Implementing the multiprefix operation on parallel and vector computers Proceedings of the fifth annual ACM symposium on Parallel Algorithms and Architectures, (377-386)
- Giancarlo R and Grossi R Parallel construction and query of suffix trees for two-dimensional matrices Proceedings of the fifth annual ACM symposium on Parallel Algorithms and Architectures, (86-97)
- Leighton T and Ma Y Tight bounds on the size of fault-tolerant merging and sorting networks with destructive faults Proceedings of the fifth annual ACM symposium on Parallel Algorithms and Architectures, (30-41)
- Becker R, Nassimi D and Perl Y The new class of g-chain periodic sorters Proceedings of the fifth annual ACM symposium on Parallel Algorithms and Architectures, (356-364)
- Nodine M, Goodrich M and Vitter J Blocking for external graph searching Proceedings of the twelfth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems, (222-232)
- Heidelberger P and Nicol D (1993). Conservative Parallel Simulation of Continuous Time Markov Chains Using Uniformization, IEEE Transactions on Parallel and Distributed Systems, 4:8, (906-921), Online publication date: 1-Aug-1993.
- Cheetham R, Oommen B and Ng D (1993). Adaptive Structuring of Binary Search Trees Using Conditional Rotations, IEEE Transactions on Knowledge and Data Engineering, 5:4, (695-704), Online publication date: 1-Aug-1993.
- Kilpeläinen P and Mannila H Retrieval from hierarchical texts by partial patterns Proceedings of the 16th annual international ACM SIGIR conference on Research and development in information retrieval, (214-222)
- Sarkar A Extending Kimmo's two-level model of morphology Proceedings of the 31st annual meeting on Association for Computational Linguistics, (304-306)
- O'Neil E, O'Neil P and Weikum G (1993). The LRU-K page replacement algorithm for database disk buffering, ACM SIGMOD Record, 22:2, (297-306), Online publication date: 1-Jun-1993.
- O'Neil E, O'Neil P and Weikum G The LRU-K page replacement algorithm for database disk buffering Proceedings of the 1993 ACM SIGMOD international conference on Management of data, (297-306)
- Alon N, Chung F and Graham R Routing permutations on graphs via matchings Proceedings of the twenty-fifth annual ACM symposium on Theory of Computing, (583-591)
- Felsner S and Wernisch L Maximum k-chains in planar point sets Proceedings of the twenty-fifth annual ACM symposium on Theory of Computing, (146-153)
- Wu K, Yu P and Teng J (1993). Performance comparison of thrashing control policies for concurrent Mergesorts with parallel prefetching, ACM SIGMETRICS Performance Evaluation Review, 21:1, (171-182), Online publication date: 1-Jun-1993.
- Wu K, Yu P and Teng J Performance comparison of thrashing control policies for concurrent Mergesorts with parallel prefetching Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, (171-182)
- Piestrak S (1993). The Minimal Test Set for Multioutput Threshold Circuits Implemented as Sorting Networks, IEEE Transactions on Computers, 42:6, (700-712), Online publication date: 1-Jun-1993.
- Abali B, özgü F and Bataineh A (1993). Balanced Parallel Sort on Hypercube Multiprocessors, IEEE Transactions on Parallel and Distributed Systems, 4:5, (572-581), Online publication date: 1-May-1993.
- Lin Y (1993). On Balancing Sorting on a Linear Array, IEEE Transactions on Parallel and Distributed Systems, 4:5, (566-571), Online publication date: 1-May-1993.
- Xiong R and Brown T (1993). Parallel Median Splitting and k-Splitting with Application to Merging and Sorting, IEEE Transactions on Parallel and Distributed Systems, 4:5, (559-565), Online publication date: 1-May-1993.
- Li L (1993). Fast In-Place Verification of Data Dependencies, IEEE Transactions on Knowledge and Data Engineering, 5:2, (266-281), Online publication date: 1-Apr-1993.
- Kay M and Röscheisen M (1993). Text-translation alignment, Computational Linguistics, 19:1, (121-142), Online publication date: 1-Mar-1993.
- Tharp A and Furlani J The CD-ROM foster a new data structure Proceedings of the 1993 ACM conference on Computer science, (466-471)
- Smith P and Graham J A simple balanced search tree Proceedings of the 1993 ACM conference on Computer science, (461-465)
- Chen E and Davison D Distributing molecular biology information Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice, (634-640)
- Min H and Zheng S Time-space optimal convex Hull algorithms Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice, (687-693)
- Atkinson M and Thiyagarajah M (1993). The permutational power of a priority queue, BIT, 33:1, (1-6), Online publication date: 1-Mar-1993.
- Matias Y, Vitter J and Ni W Dynamic generation of discrete random variates Proceedings of the fourth annual ACM-SIAM symposium on Discrete algorithms, (361-370)
- Klawe M and Mumey B Upper and lower bounds on constructing alphabetic binary trees Proceedings of the fourth annual ACM-SIAM symposium on Discrete algorithms, (185-193)
- Matoušek J, Mount D and Netanyahu N Efficient randomized algorithms for the repeated median line estimator Proceedings of the fourth annual ACM-SIAM symposium on Discrete algorithms, (74-82)
- Wolf J, Dias D and Yu P (1993). A Parallel Sort Merge Join Algorithm for Managing Data Skew, IEEE Transactions on Parallel and Distributed Systems, 4:1, (70-86), Online publication date: 1-Jan-1993.
- Devroye L Generation of random objects Proceedings of the 24th conference on Winter simulation, (270-279)
- Estivill-Castro V and Wood D (1992). A survey of adaptive sorting algorithms, ACM Computing Surveys, 24:4, (441-476), Online publication date: 1-Dec-1992.
- Kukich K (1992). Techniques for automatically correcting words in text, ACM Computing Surveys, 24:4, (377-439), Online publication date: 1-Dec-1992.
- Baeza-Yates R and Gonnet G (1992). A new approach to text searching, Communications of the ACM, 35:10, (74-82), Online publication date: 1-Oct-1992.
- Wan S and Wong S (1992). A Partially Supervised Learning Algorithm for Linearly Separable Systems, IEEE Transactions on Pattern Analysis and Machine Intelligence, 14:10, (1052-1056), Online publication date: 1-Oct-1992.
- Szpankowski W (Un)expected behavior of typical suffix trees Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms, (422-431)
- Stein A and Werman M Finding the repeated median regression line Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms, (409-413)
- Carlsson S and Chen J The complexity of heaps Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms, (393-402)
- Hui L and Martel C On efficient unsuccessful search Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms, (217-227)
- Julstrom B (1992). Slow sorting, ACM SIGCSE Bulletin, 24:3, (11-13), Online publication date: 1-Sep-1992.
- Corbett P and Scherson I (1992). Sorting in Mesh Connected Multiprocessors, IEEE Transactions on Parallel and Distributed Systems, 3:5, (626-632), Online publication date: 1-Sep-1992.
- Hoshi M and Flajolet P (1992). Page usage in a quadtree index, BIT, 32:3, (384-402), Online publication date: 1-Sep-1992.
- Klugerman M and Plaxton C Small-depth counting networks Proceedings of the twenty-fourth annual ACM symposium on Theory of Computing, (417-428)
- Plaxton C A hypercubic sorting network with nearly logarithmic depth Proceedings of the twenty-fourth annual ACM symposium on Theory of Computing, (405-416)
- IEEE Annals of the History of Computing staff (1992). Biographies, IEEE Annals of the History of Computing, 14:3, (55-77), Online publication date: 1-Jul-1992.
- Mohan C and Narang I Algorithms for creating indexes for very large tables without quiescing updates Proceedings of the 1992 ACM SIGMOD international conference on Management of data, (361-370)
- Haas P and Swami A Sequential sampling procedures for query size estimation Proceedings of the 1992 ACM SIGMOD international conference on Management of data, (341-350)
- Analyti A and Pramanik S Fast search in main memory databases Proceedings of the 1992 ACM SIGMOD international conference on Management of data, (215-224)
- Mohan C and Narang I (1992). Algorithms for creating indexes for very large tables without quiescing updates, ACM SIGMOD Record, 21:2, (361-370), Online publication date: 1-Jun-1992.
- Haas P and Swami A (1992). Sequential sampling procedures for query size estimation, ACM SIGMOD Record, 21:2, (341-350), Online publication date: 1-Jun-1992.
- Analyti A and Pramanik S (1992). Fast search in main memory databases, ACM SIGMOD Record, 21:2, (215-224), Online publication date: 1-Jun-1992.
- Stricker T Supporting the hypercube programming model on mesh architectures Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures, (148-157)
- Plaxton C and Suel T A lower bound for sorting networks based on the shuffle permutation Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures, (70-79)
- Ferguson D (1992). Bit-Tree: a data structure for fast file processing, Communications of the ACM, 35:6, (114-120), Online publication date: 1-Jun-1992.
- Baeza-Yates R, Gonnet G and Ziviani N (1992). Improved bounds for the expected behaviour of AVL trees, BIT, 32:2, (297-315), Online publication date: 1-Jun-1992.
- O'Connor L and Snider T Suffix trees and string complexity Proceedings of the 11th annual international conference on Theory and application of cryptographic techniques, (138-152)
- West L Postorder B-tree construction Proceedings of the 30th annual Southeast regional conference, (449-452)
- Bhagavathi D, Denny W, Grosch C, Looges P and Olariu S Sorting and merging on the DAP Proceedings of the 30th annual Southeast regional conference, (93-99)
- Geist R, Suggs D, Reynolds R, Divatia S, Harris F, Foster E and Kolte P Disk performance enhancement through Markov-based cylinder remapping Proceedings of the 30th annual Southeast regional conference, (23-28)
- Tan K and Lu H Processing multi-join query in parallel systems Proceedings of the 1992 ACM/SIGAPP Symposium on Applied computing: technological challenges of the 1990's, (283-292)
- Cheng X, Lu H and Hedrick G Searching spatial objects with index by dimensional projections Proceedings of the 1992 ACM/SIGAPP Symposium on Applied computing: technological challenges of the 1990's, (217-223)
- Jhang H Performance of join on an n-dimensional mesh Proceedings of the 1992 ACM/SIGAPP Symposium on Applied computing: technological challenges of the 1990's, (197-203)
- Jhang H Performance comparison of join on hypercube and mesh Proceedings of the 1992 ACM annual conference on Communications, (243-250)
- Heller F and Tharp A The *M-ary tree and *Ternary hillsort Proceedings of the 1992 ACM annual conference on Communications, (41-48)
- Cutting D, Kupiec J, Pedersen J and Sibun P A practical part-of-speech tagger Proceedings of the third conference on Applied natural language processing, (133-140)
- Paul D An efficient A* stack decoder algorithm for continuous speech recognition with a stochastic language model Proceedings of the 1992 IEEE international conference on Acoustics, speech and signal processing - Volume 1, (25-28)
- Astrachan O (1992). On finding a stable roommate, job, or spouse, ACM SIGCSE Bulletin, 24:1, (107-112), Online publication date: 1-Mar-1992.
- Astrachan O On finding a stable roommate, job, or spouse Proceedings of the twenty-third SIGCSE technical symposium on Computer science education, (107-112)
- Baugher M Media transports and distributed multimedia flows Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's, (1132-1143)
- Paul D An efficient A* stack decoder algorithm for continuous speech recognition with a stochastic language model Proceedings of the workshop on Speech and Natural Language, (405-409)
- Falkowski B (1992). Comments on an Optimal Set of Indices for a Relational Database, IEEE Transactions on Software Engineering, 18:2, (168-171), Online publication date: 1-Feb-1992.
- Fox E, Heath L, Chen Q and Daoud A (1992). Practical minimal perfect hash functions for large databases, Communications of the ACM, 35:1, (105-121), Online publication date: 2-Jan-1992.
- Negri M and Pelagatti G (1991). Distributive join, ACM Transactions on Database Systems, 16:4, (655-669), Online publication date: 1-Dec-1991.
- Abdelguerfi M and Sood A (1991). Computational Complexity of Sorting and Joining Relations with Duplicates, IEEE Transactions on Knowledge and Data Engineering, 3:4, (496-503), Online publication date: 1-Dec-1991.
- Crawford D (1991). Technical correspondence, Communications of the ACM, 34:11, (118-120), Online publication date: 1-Nov-1991.
- Trono J (1991). Average case analysis when merging two ordered lists of different length, ACM SIGCSE Bulletin, 23:3, (5-6), Online publication date: 1-Sep-1991.
- Motzkin D An efficient directory system for document retrieval Proceedings of the 14th annual international ACM SIGIR conference on Research and development in information retrieval, (291-303)
- Graefe G (1991). Heap-Filter Merge Join, IEEE Transactions on Software Engineering, 17:9, (979-982), Online publication date: 1-Sep-1991.
- Kanada Y A method of vector processing for shared symbolic data Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (722-731)
- Pruesse G and Ruskey F (1991). Generating the Linear Extensions of Certain Posets by Transpositions, SIAM Journal on Discrete Mathematics, 4:3, (413-422), Online publication date: 1-Aug-1991.
- Rathi A, Lu H and Hedrick G (1991). Performance comparison of extendible hashing and linear hashing techniques, ACM SIGSMALL/PC Notes, 17:2, (19-26), Online publication date: 1-Jun-1991.
- Nodine M and Vitter J Large-scale sorting in parallel memories (extended abstract) Proceedings of the third annual ACM symposium on Parallel algorithms and architectures, (29-39)
- Hegland M Implementing partition on vector computers Proceedings of the 5th international conference on Supercomputing, (304-311)
- Atkinson M (1991). The recursive structure of some ordering problems, BIT, 31:2, (194-201), Online publication date: 1-Jun-1991.
- Kapoor S and Reingold E (1991). Stochastic rearrangement rules for self-organizing data structures, Algorithmica, 6:1-6, (278-291), Online publication date: 1-Jun-1991.
- Krammer J (1991). A sorter-based architecture for a parallel implementation of communication intensive algorithms, Journal of VLSI Signal Processing Systems, 3:1-2, (93-103), Online publication date: 1-May-1991.
- Guan X and Langston M (1991). Time-Space Optimal Parallel Merging and Sorting, IEEE Transactions on Computers, 40:5, (596-602), Online publication date: 1-May-1991.
- Cutting D, Pedersen J and Halvorsen P An object-oriented architecture for text retrieval Intelligent Text and Image Handling, (285-298)
- Kim H A parallel algorithm for finding crossing numbers of channel routing (abstract and references only) Proceedings of the 19th annual conference on Computer Science, (665-666)
- Liu L, Babad Y, Sun W and Chan K Adaptive post-processing of OCR text via knowledge acquisition Proceedings of the 19th annual conference on Computer Science, (558-569)
- Abuali F and Wainwright R Fringe analysis of binary search trees with miniml internal path length Proceedings of the 19th annual conference on Computer Science, (61-70)
- Yen I Massively parallel hash algorithms and performance Proceedings of the 19th annual conference on Computer Science, (21-28)
- Zhang W and Wen Z Efficient parallel algorithms for some integer problems Proceedings of the 19th annual conference on Computer Science, (11-20)
- Flajolet P, Gonnet G, Puech C and Robson J The analysis of multidimensional searching in quad-trees Proceedings of the second annual ACM-SIAM symposium on Discrete algorithms, (100-109)
- Chen J and Carlsson S On partitions and presortedness of sequences Proceedings of the second annual ACM-SIAM symposium on Discrete algorithms, (62-71)
- Astrachan O (1991). Pictures as invariants, ACM SIGCSE Bulletin, 23:1, (112-118), Online publication date: 1-Mar-1991.
- Astrachan O Pictures as invariants Proceedings of the twenty-second SIGCSE technical symposium on Computer science education, (112-118)
- Teuhola J and Wegner L (1991). Minimal space, average linear time duplicate deletion, Communications of the ACM, 34:3, (62-73), Online publication date: 1-Mar-1991.
- Lam H, Lee C and Su S (1991). A Special Function Unit for Database Operations (SFU-DB), IEEE Transactions on Computers, 40:3, (263-275), Online publication date: 1-Mar-1991.
- Ferreira A (1991). A Parallel Time/Hardware Tradeoff T.H=O(2/sup n/2/) for the Knapsack Problem, IEEE Transactions on Computers, 40:2, (221-225), Online publication date: 1-Feb-1991.
- Matias Y and Vishkin U Converting high probability into nearly-constant time—with applications to parallel hashing Proceedings of the twenty-third annual ACM symposium on Theory of Computing, (307-316)
- Willard D (1991). Optimal sample cost residues for differential database batch query problems, Journal of the ACM, 38:1, (104-119), Online publication date: 3-Jan-1991.
- Alnuweiri H and Kumar V (1991). Optimal VLSI Sorting with Reduced Number of Processors, IEEE Transactions on Computers, 40:1, (105-110), Online publication date: 1-Jan-1991.
- Lakshmi M and Yu P (1990). Effectiveness of Parallel Joins, IEEE Transactions on Knowledge and Data Engineering, 2:4, (410-424), Online publication date: 1-Dec-1990.
- Chatterjee S, Blelloch G and Zagha M Scan primitives for vector computers Proceedings of the 1990 ACM/IEEE conference on Supercomputing, (666-675)
- Fineberg S, Casavant T and Siegel H Experimental analysis of communication/data-conditional aspects of a mixed-mode parallel architecture via synthetic computations Proceedings of the 1990 ACM/IEEE conference on Supercomputing, (637-646)
- Raghavan R and Hayes J On randomly interleaved memories Proceedings of the 1990 ACM/IEEE conference on Supercomputing, (49-58)
- Savoy J (1990). Statistical behavior of fast hashing of variable length test strings, ACM SIGIR Forum, 24:3, (62-71), Online publication date: 1-Nov-1990.
- Kuszmaul B (1990). Fast, Deterministic Routing, on Hypercubes, Using Small Buffers, IEEE Transactions on Computers, 39:11, (1390-1393), Online publication date: 1-Nov-1990.
- Pramanik S and Kim M (1990). Parallel Processing of large node B-trees, IEEE Transactions on Computers, 39:9, (1208-1212), Online publication date: 1-Sep-1990.
- Wolf J, Dias D and Yu P An effective algorithm for parallelizing sort merge joins in the presence of data skew Proceedings of the second international symposium on Databases in parallel and distributed systems, (103-115)
- Kitsuregawa M, Nakano M, Harada L and Takagi M Performance evaluation of functional disk system with nonuniform data distribution Proceedings of the second international symposium on Databases in parallel and distributed systems, (80-89)
- Paul D Algorithms for an optimal A search and linearizing the search in the stack decoder Proceedings of the workshop on Speech and Natural Language, (200-203)
- Fukushima T, Ohyama Y and Miyai H A hardware algorithm for high speed morpheme extraction and its implementation Proceedings of the 28th annual meeting on Association for Computational Linguistics, (307-314)
- Pearson P (1990). Fast hashing of variable-length text strings, Communications of the ACM, 33:6, (677-680), Online publication date: 1-Jun-1990.
- Pugh W (1990). Skip lists: a probabilistic alternative to balanced trees, Communications of the ACM, 33:6, (668-676), Online publication date: 1-Jun-1990.
- Beckmann N, Kriegel H, Schneider R and Seeger B (1990). The R*-tree: an efficient and robust access method for points and rectangles, ACM SIGMOD Record, 19:2, (322-331), Online publication date: 1-May-1990.
- Beckmann N, Kriegel H, Schneider R and Seeger B The R*-tree: an efficient and robust access method for points and rectangles Proceedings of the 1990 ACM SIGMOD international conference on Management of data, (322-331)
- Beigel R and Gill J (1990). Sorting n Objects with a k-Sorter, IEEE Transactions on Computers, 39:5, (714-716), Online publication date: 1-May-1990.
- Heller F Finding parents in a heap Proceedings of the 28th annual Southeast regional conference, (217-222)
- Schmidt J and Siegel A The analysis of closed hashing under limited randomness Proceedings of the twenty-second annual ACM symposium on Theory of Computing, (224-234)
- Vitter J and Shriver E Optimal disk I/O with parallel block transfer Proceedings of the twenty-second annual ACM symposium on Theory of Computing, (159-169)
- Sundar R and Tarjan R Unique binary search tree representations and equality-testing of sets and sequences Proceedings of the twenty-second annual ACM symposium on Theory of Computing, (18-25)
- Whang K and Krishnamurthy R (1990). Query optimization in a memory-resident domain relational calculus database system, ACM Transactions on Database Systems, 15:1, (67-95), Online publication date: 1-Mar-1990.
- Cardenas S and Zelkowitz M Evaluation criteria for functional specifications Proceedings of the 12th international conference on Software engineering, (26-33)
- Rathi A, Lu H and Hedrick G Performance comparison of extendible hashing and linear hashing techniques Proceedings of the 1990 ACM SIGSMALL/PC symposium on Small systems, (178-185)
- Merritt S and Nauck C Inventing a new sorting algorithm Proceedings of the twenty-first SIGCSE technical symposium on Computer science education, (181-185)
- Merritt S and Nauck C (1990). Inventing a new sorting algorithm, ACM SIGCSE Bulletin, 22:1, (181-185), Online publication date: 1-Feb-1990.
- Lin F and Chen K (1990). On the Design of a Unidirectional Systolic Array for Key Enumeration, IEEE Transactions on Computers, 39:2, (266-269), Online publication date: 1-Feb-1990.
- Eppstein D, Galil Z, Giancarlo R and Italiano G Sparse dynamic programming Proceedings of the first annual ACM-SIAM symposium on Discrete algorithms, (513-522)
- Kravets D and Park J Selection and sorting in totally monotone arrays Proceedings of the first annual ACM-SIAM symposium on Discrete algorithms, (494-502)
- Lee D and Lochovsky F (1990). HYTREM-A Hybrid Text-Retrieval Machine for Large Databases, IEEE Transactions on Computers, 39:1, (111-123), Online publication date: 1-Jan-1990.
- Cutting D and Pedersen J Optimization for dynamic inverted index maintenance Proceedings of the 13th annual international ACM SIGIR conference on Research and development in information retrieval, (405-411)
- Srivastava J, Tan J and Lum V (1989). TBSAM, IEEE Transactions on Knowledge and Data Engineering, 1:4, (414-423), Online publication date: 1-Dec-1989.
- Bahaa-El-Din W, Bastani F and Teng J (1989). Performance Analysis of Periodic and Concurrent Data Structure Maintenance Strategies for Network Servers, IEEE Transactions on Software Engineering, 15:12, (1526-1536), Online publication date: 1-Dec-1989.
- Kapralski A (1989). The Maximum and Minimum Selector SELRAM and its Application for Developing Fast Sorting Machines, IEEE Transactions on Computers, 38:11, (1572-1577), Online publication date: 1-Nov-1989.
- Alaghband G and Jordan H (1989). Sparse Gaussian Elimination with Controlled Fill-In on a Shared Memory Multiprocessor, IEEE Transactions on Computers, 38:11, (1539-1557), Online publication date: 1-Nov-1989.
- Ciaccia P and Scalas M (1989). Optimization Strategies for Relational Queries, IEEE Transactions on Software Engineering, 15:10, (1217-1235), Online publication date: 1-Oct-1989.
- Bilardi G (1989). Merging and Sorting Networks with the Topology of the Omega Network, IEEE Transactions on Computers, 38:10, (1396-1403), Online publication date: 1-Oct-1989.
- Manolopoulos Y and Kollias J (1989). Performance of a two-headed disk system when serving database queries under the scan policy, ACM Transactions on Database Systems, 14:3, (425-442), Online publication date: 1-Sep-1989.
- Baeza-Yates R (1989). A trivial algorithm whose analysis is not: A continuation, BIT, 29:3, (378-394), Online publication date: 1-Sep-1989.
- Parberry I A computer assisted optimal depth lower bound for sorting networks with nine inputs Proceedings of the 1989 ACM/IEEE conference on Supercomputing, (152-161)
- Viennot X, Eyrolles G, Janey N and Arqués D (1989). Combinatorial analysis of ramified patterns and computer imagery of trees, ACM SIGGRAPH Computer Graphics, 23:3, (31-40), Online publication date: 1-Jul-1989.
- Viennot X, Eyrolles G, Janey N and Arqués D Combinatorial analysis of ramified patterns and computer imagery of trees Proceedings of the 16th annual conference on Computer graphics and interactive techniques, (31-40)
- Wegner L and Teuhola J (1989). The External Heapsort, IEEE Transactions on Software Engineering, 15:7, (917-925), Online publication date: 1-Jul-1989.
- Schmeck H, Schröder H and Starke C (1989). Systolic s/sup 2/-Way Merge Sort is Optimal, IEEE Transactions on Computers, 38:7, (1052-1056), Online publication date: 1-Jul-1989.
- Chang J, Lee J and Lee Y (1989). Multikey access methods based on term discrimination and signature clustering, ACM SIGIR Forum, 23:SI, (176-185), Online publication date: 25-Jun-1989.
- Paterson M and Yao F Binary partitions with applications to hidden surface removal and solid modelling Proceedings of the fifth annual symposium on Computational geometry, (23-32)
- McCracken D (1989). Three “lab assignments” for an algorithms course, ACM SIGCSE Bulletin, 21:2, (61-64), Online publication date: 1-Jun-1989.
- Ramakrishna M and Larson P (1989). File organization using composite perfect hashing, ACM Transactions on Database Systems, 14:2, (231-263), Online publication date: 1-Jun-1989.
- Chang J, Lee J and Lee Y Multikey access methods based on term discrimination and signature clustering Proceedings of the 12th annual international ACM SIGIR conference on Research and development in information retrieval, (176-185)
- Baer J and Lin Y (1989). Improving Quicksort Performance with a Codeword Data Structure, IEEE Transactions on Software Engineering, 15:5, (622-631), Online publication date: 1-May-1989.
- Fiala E and Greene D (1989). Data compression with finite windows, Communications of the ACM, 32:4, (490-505), Online publication date: 1-Apr-1989.
- Samatham M and Pradhan D (1989). The de Bruijn Multiprocessor Network, IEEE Transactions on Computers, 38:4, (567-581), Online publication date: 1-Apr-1989.
- Ramesh R, Babu A and Kincaid J (1989). Variable-depth trie index optimization: theory and experimental results, ACM Transactions on Database Systems, 14:1, (41-74), Online publication date: 1-Mar-1989.
- Oommen B and Ng D On generating random permutations with arbitrary distributions Proceedings of the 17th conference on ACM Annual Computer Science Conference, (27-32)
- Murthy N An optimal search tree Proceedings of the 17th conference on ACM Annual Computer Science Conference, (404-404)
- Desai B (1989). Performance of a Composite Attribute and Join Index, IEEE Transactions on Software Engineering, 15:2, (142-152), Online publication date: 1-Feb-1989.
- Armenise P (1989). A structured approach to program optimization, IEEE Transactions on Software Engineering, 15:2, (101-108), Online publication date: 1-Feb-1989.
- Nakatani T, Huang S, Arden B and Tripathi S (1989). K-Way Bitonic Sort, IEEE Transactions on Computers, 38:2, (283-288), Online publication date: 1-Feb-1989.
- Scherson I and Sen S (1989). Parallel Sorting in Two-Dimensional VLSI Models of Computation, IEEE Transactions on Computers, 38:2, (238-249), Online publication date: 1-Feb-1989.
- Fowler R, LeBlanc T and Mellor-Crummey J (1988). An integrated approach to parallel program debugging and performance analysis onlarge-scale multiprocessors, ACM SIGPLAN Notices, 24:1, (163-173), Online publication date: 3-Jan-1989.
- Felten E and Otto S Chess on a hypercube Proceedings of the third conference on Hypercube concurrent computers and applications - Volume 2, (1329-1341)
- Warren M and Salmon J An O(NlogN) hypercube N-body integrator Proceedings of the third conference on Hypercube concurrent computers and applications - Volume 2, (971-975)
- Fox G What have we learnt from using real parallel machines to solve real problems? Proceedings of the third conference on Hypercube concurrent computers and applications - Volume 2, (897-955)
- Jones D (1989). Concurrent operations on priority queues, Communications of the ACM, 32:1, (132-137), Online publication date: 1-Jan-1989.
- Richards D and Vaidya P (1988). On the distribution of comparisons in sorting algorithms, BIT, 28:4, (764-774), Online publication date: 1-Dec-1988.
- Fowler R, LeBlanc T and Mellor-Crummey J An integrated approach to parallel program debugging and performance analysis onlarge-scale multiprocessors Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging, (163-173)
- Qadah G and Irani K (1988). The Join Algorithms on a Shared-Memory Multiprocessor Database Machine, IEEE Transactions on Software Engineering, 14:11, (1668-1683), Online publication date: 1-Nov-1988.
- Lin F and Wu I (1988). Broadcast Normalization in Systolic Design, IEEE Transactions on Computers, 37:11, (1428-1434), Online publication date: 1-Nov-1988.
- Park S and Miller K (1988). Random number generators: good ones are hard to find, Communications of the ACM, 31:10, (1192-1201), Online publication date: 1-Oct-1988.
- Lomet D (1988). A simple bounded disorder file organization with good performance, ACM Transactions on Database Systems, 13:4, (525-551), Online publication date: 1-Oct-1988.
- Ceruzzi P (1988). Electronics Technology and Computer Science, 1940-1975, IEEE Annals of the History of Computing, 10:4, (257-275), Online publication date: 1-Oct-1988.
- Smith C (1988). Applying Synthesis Principles to Create Responsive Software Systems, IEEE Transactions on Software Engineering, 14:10, (1394-1408), Online publication date: 1-Oct-1988.
- Aggarwal A and Vitter J (1988). The input/output complexity of sorting and related problems, Communications of the ACM, 31:9, (1116-1127), Online publication date: 1-Sep-1988.
- Emirkanian L and Bouchard L Knowledge integration in a robust and efficient morpho-syntactic analyzer for French Proceedings of the 12th conference on Computational linguistics - Volume 1, (166-171)
- Braden R (1988). A pseudo-machine for packet monitoring and statistics, ACM SIGCOMM Computer Communication Review, 18:4, (200-209), Online publication date: 1-Aug-1988.
- Braden R A pseudo-machine for packet monitoring and statistics Symposium proceedings on Communications architectures and protocols, (200-209)
- Queinnec C (1988). Dynamic extent objects, ACM SIGPLAN Lisp Pointers, 2:1, (11-21), Online publication date: 1-Jul-1988.
- Beck M, Bitton D and Wilkinson W (1988). Sorting Large Files on a Backend Multiprocessor, IEEE Transactions on Computers, 37:7, (769-778), Online publication date: 1-Jul-1988.
- Ramakrishna M (1988). Hashing practice: analysis of hashing and universal hashing, ACM SIGMOD Record, 17:3, (191-199), Online publication date: 1-Jun-1988.
- Lauther U An O (N log N) algorithm for boolean mask operations Papers on Twenty-five years of electronic design automation, (233-240)
- Ramakrishna M Hashing practice: analysis of hashing and universal hashing Proceedings of the 1988 ACM SIGMOD international conference on Management of data, (191-199)
- Kantabutra V (1988). A lower bound on the path length of binary trees, ACM SIGACT News, 19:2, (48-50), Online publication date: 1-Jun-1988.
- Ponder C, McGeer P and Ng A (1988). Are applicative languages inefficient?, ACM SIGPLAN Notices, 23:6, (135-139), Online publication date: 1-Jun-1988.
- Aurenhammer F (1988). On-line sorting of twisted sequences in linear time, BIT, 28:2, (194-204), Online publication date: 1-Jun-1988.
- Ng Y and Barros S Active memory for text information retrieval Proceedings of the 11th annual international ACM SIGIR conference on Research and development in information retrieval, (613-627)
- Gerasch T (1988). An insertion algorithm for a minimal internal path length binary search tree, Communications of the ACM, 31:5, (579-585), Online publication date: 1-May-1988.
- Larson P (1988). Dynamic hash tables, Communications of the ACM, 31:4, (446-457), Online publication date: 1-Apr-1988.
- Bixby R and Fourer R (1988). Finding Embedded Network Rows in Linear Programs I. Extraction Heuristics, Management Science, 34:3, (342-376), Online publication date: 1-Mar-1988.
- Huang B and Langston M (1988). Practical in-place merging, Communications of the ACM, 31:3, (348-352), Online publication date: 1-Mar-1988.
- Huang B and Langston M Stable set and multiset operations in optimal time and space Proceedings of the seventh ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems, (288-293)
- Klerlein J and Fullbright C (1988). A transition from bubble to shell sort, ACM SIGCSE Bulletin, 20:1, (183-184), Online publication date: 1-Feb-1988.
- Zahn C (1988). A phased programming paradigm, ACM SIGCSE Bulletin, 20:1, (9-12), Online publication date: 1-Feb-1988.
- Klerlein J and Fullbright C A transition from bubble to shell sort Proceedings of the nineteenth SIGCSE technical symposium on Computer science education, (183-184)
- Zahn C A phased programming paradigm Proceedings of the nineteenth SIGCSE technical symposium on Computer science education, (9-12)
- Sung Y and Lee D Self-organizing scheme for file storage Proceedings of the 1988 ACM sixteenth annual conference on Computer science, (147-160)
- Gordon J and Stout Q Hypercube message routing in the presence of faults Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1, (318-327)
- Lueker G and Molodowitch M More analysis of double hashing Proceedings of the twentieth annual ACM symposium on Theory of computing, (354-359)
- Karloff H and Raghavan P Randomized algorithms and pseudorandom numbers Proceedings of the twentieth annual ACM symposium on Theory of computing, (310-321)
- Huang B and Langston M Practical in-place merging Proceedings of the 1987 Fall Joint Computer Conference on Exploring technology: today and tomorrow, (376-380)
- Richardson J, Lu H and Mikkilineni K (1987). Design and evaluation of parallel pipelined join algorithms, ACM SIGMOD Record, 16:3, (399-409), Online publication date: 1-Dec-1987.
- Nixon B, Chung L, Mylopoulos J, Lauzon D, Borgida A and Stanley M (1987). Implementation of a compiler for a semantic data model: Experiences with taxis, ACM SIGMOD Record, 16:3, (118-131), Online publication date: 1-Dec-1987.
- Richardson J, Lu H and Mikkilineni K Design and evaluation of parallel pipelined join algorithms Proceedings of the 1987 ACM SIGMOD international conference on Management of data, (399-409)
- Nixon B, Chung L, Mylopoulos J, Lauzon D, Borgida A and Stanley M Implementation of a compiler for a semantic data model: Experiences with taxis Proceedings of the 1987 ACM SIGMOD international conference on Management of data, (118-131)
- Raita T and Teuhola J Predictive test compression by hashing Proceedings of the 10th annual international ACM SIGIR conference on Research and development in information retrieval, (223-233)
- Eastman C File organizations & incrementally specified queries Proceedings of the 10th annual international ACM SIGIR conference on Research and development in information retrieval, (217-222)
- Varghese G and Lauck T Hashed and hierarchical timing wheels: data structures for the efficient implementation of a timer facility Proceedings of the eleventh ACM Symposium on Operating systems principles, (25-38)
- Varghese G and Lauck T (1987). Hashed and hierarchical timing wheels: data structures for the efficient implementation of a timer facility, ACM SIGOPS Operating Systems Review, 21:5, (25-38), Online publication date: 1-Nov-1987.
- Kirkpatrick D Establishing order in planar subdivisions Proceedings of the third annual symposium on Computational geometry, (316-321)
- Alevizos P, Boissonnat J and Yvinec M An optimal O(n log n) algorithm for contour reconstruction from rays Proceedings of the third annual symposium on Computational geometry, (162-170)
- Nishihara S and Nishnio H (1987). Binary search revisited: another advantage of Fibonacci search, IEEE Transactions on Computers, 36:9, (1132-1135), Online publication date: 1-Sep-1987.
- Ian Munro J and Poblete P (1987). Searchability in merging and implicit data structures, BIT, 27:3, (324-329), Online publication date: 1-Sep-1987.
- Hasham A and Sack J (1987). Bounds for min-max heaps, BIT, 27:3, (315-323), Online publication date: 1-Sep-1987.
- McEntee T (1987). Overview of garbage collection in symbolic computing, ACM SIGPLAN Lisp Pointers, 1:3, (8-16), Online publication date: 1-Aug-1987.
- Mendelson B and Silberman G Mapping data flow programs on a VLSI array of processors Proceedings of the 14th annual international symposium on Computer architecture, (72-80)
- Nurmi O, Soisalon-Soininen E and Wood D Concurrency control in database structures with relaxed balance Proceedings of the sixth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems, (170-176)
- Valduriez P (1987). Join indices, ACM Transactions on Database Systems, 12:2, (218-246), Online publication date: 1-Jun-1987.
- Zheng Z (1987). The Duodirun Merging Algorithm, Journal of Computer Science and Technology, 2:2, (157-162), Online publication date: 1-Apr-1987.
- Gutzmann K (1987). Optimal dimension of hypercubes for sorting, ACM SIGARCH Computer Architecture News, 15:1, (68-72), Online publication date: 1-Mar-1987.
- Stasko J and Vitter J (1987). Pairing heaps, Communications of the ACM, 30:3, (234-249), Online publication date: 1-Mar-1987.
- Bickel M (1987). Automatic correction to misspelled names, Communications of the ACM, 30:3, (224-228), Online publication date: 1-Mar-1987.
- Fedorowicz J (1987). Database performance evaluation in an indexed file environment, ACM Transactions on Database Systems, 12:1, (85-110), Online publication date: 1-Mar-1987.
- Lomet D (1987). Partial expansions for file organizations with an index, ACM Transactions on Database Systems, 12:1, (65-84), Online publication date: 1-Mar-1987.
- Martin H and Seethoff T On optimal algorithms for solving bi-valued game trees Proceedings of the 15th annual conference on Computer Science, (254-260)
- Park A and Balasubramanian K Improved sorting algorithms for parallel computers Proceedings of the 15th annual conference on Computer Science, (239-244)
- Wainwright R Quicksort algorithms with an early exit for sorted subfiles Proceedings of the 15th annual conference on Computer Science, (183-190)
- Sung Y Sensitivity problem in dynamic file organization Proceedings of the 15th annual conference on Computer Science, (149-153)
- Zahn C Bubble reflections Proceedings of the eighteenth SIGCSE technical symposium on Computer science education, (264-266)
- Zahn C (1987). Bubble reflections, ACM SIGCSE Bulletin, 19:1, (264-266), Online publication date: 1-Feb-1987.
- Van Leeuwen J and Wiedermann J (1987). Array processing machines: an abstract model, BIT, 27:1, (25-43), Online publication date: 1-Jan-1987.
- Sacco G and Schkolnick M (1986). Buffer management in relational database systems, ACM Transactions on Database Systems, 11:4, (473-498), Online publication date: 1-Dec-1986.
- Munro J and Celis P Techniques for collision resolution in hash tables with open addressing Proceedings of 1986 ACM Fall joint computer conference, (601-610)
- Schnorr C and Shamir A An optimal sorting algorithm for mesh connected computers Proceedings of the eighteenth annual ACM symposium on Theory of computing, (255-263)
- Sleator D, Tarjan R and Thurston W Rotation distance, triangulations, and hyperbolic geometry Proceedings of the eighteenth annual ACM symposium on Theory of computing, (122-135)
- Wong H, Li J, Olken F, Rotem D and Wong L (1986). Bit transposition for very large scientific and statistical databases, Algorithmica, 1:1-4, (289-309), Online publication date: 1-Nov-1986.
- Van Wyk C and Vitter J (1986). The complexity of hashing with lazy deletion, Algorithmica, 1:1-4, (17-29), Online publication date: 1-Nov-1986.
- Atkinson M, Sack J, Santoro N and Strothotte T (1986). Min-max heaps and generalized priority queues, Communications of the ACM, 29:10, (996-1000), Online publication date: 1-Oct-1986.
- Stout Q and Warren B (1986). Tree rebalancing in optimal time and space, Communications of the ACM, 29:9, (902-908), Online publication date: 1-Sep-1986.
- Atallah M and Hambrusch S (1986). Optimal Rotation Problems in Channel Routing, IEEE Transactions on Computers, 35:9, (843-847), Online publication date: 1-Sep-1986.
- Berztiss A (1986). A taxonomy of binary tree traversals, BIT, 26:3, (266-276), Online publication date: 1-Sep-1986.
- Thompson S Laws in Miranda Proceedings of the 1986 ACM conference on LISP and functional programming, (1-12)
- Shapiro L (1986). Join processing in database systems with large main memories, ACM Transactions on Database Systems, 11:3, (239-264), Online publication date: 1-Aug-1986.
- Clarke E and Feng Y Escher—a geometrical layout system for recursively defined circuits Proceedings of the 23rd ACM/IEEE Design Automation Conference, (650-653)
- Johnson H (1986). Data flow analysis for `intractable' system software, ACM SIGPLAN Notices, 21:7, (109-117), Online publication date: 1-Jul-1986.
- Johnson H Data flow analysis for `intractable' system software Proceedings of the 1986 SIGPLAN symposium on Compiler construction, (109-117)
- Garg A and Gotlieb C (1986). Order-preserving key transformations, ACM Transactions on Database Systems, 11:2, (213-234), Online publication date: 1-Jun-1986.
- Sacco G (1986). Fragmentation: a technique for efficient query processing, ACM Transactions on Database Systems, 11:2, (113-133), Online publication date: 1-Jun-1986.
- Girardot J The APL 90 project Proceedings of the international conference on APL: APL and the future, (12-18)
- Kurbel K and Pietsch W (1986). A portable Ada implementation of index sequential input-output, part 2, ACM SIGAda Ada Letters, VI:3, (31-42), Online publication date: 1-May-1986.
- Bentley J, Sleator D, Tarjan R and Wei V (1986). A locally adaptive data compression scheme, Communications of the ACM, 29:4, (320-330), Online publication date: 1-Apr-1986.
- Jones D (1986). An empirical comparison of priority-queue and event-set implementations, Communications of the ACM, 29:4, (300-311), Online publication date: 1-Apr-1986.
- Bunting D and Bass L Issues in implementing a real time embedded Data Base Management System in Ada Proceedings of the third annual Washington Ada symposium on Ada: Ada use in focus : practical lessons in perspective, (229-238)
- Martin H Polynomial evaluations of bi-valued game trees Proceedings of the 1986 ACM fourteenth annual conference on Computer science, (319-326)
- Desai B, Goyal P and Sadri F Use of composite index in DDBMS Proceedings of the 1986 ACM fourteenth annual conference on Computer science, (251-260)
- Singgih P, Demuth H, Hagan M and Wainwright R Parallel merge-sort algorithms on the HEP Proceedings of the 1986 ACM fourteenth annual conference on Computer science, (237-244)
- Habermann A Technological advances in software engineering Proceedings of the 1986 ACM fourteenth annual conference on Computer science, (29-37)
- Lengauer C and Huang C A mechanically certified theorem about optimal concurrency of sorting networks Proceedings of the 13th ACM SIGACT-SIGPLAN symposium on Principles of programming languages, (307-317)
- Batory D (1985). Modeling the storage architectures of commercial database systems, ACM Transactions on Database Systems, 10:4, (463-528), Online publication date: 1-Dec-1985.
- Sebasta R and Taylor M (1985). Minimal perfect hash functions for reserved word lists, ACM SIGPLAN Notices, 20:12, (47-53), Online publication date: 1-Dec-1985.
- Chung F, Hajela D and Seymour P Self-organizing sequential search and Hilbert's inequalities Proceedings of the seventeenth annual ACM symposium on Theory of computing, (217-223)
- Jain R and Chlamtac I (1985). The P2 algorithm for dynamic calculation of quantiles and histograms without storing observations, Communications of the ACM, 28:10, (1076-1085), Online publication date: 1-Oct-1985.
- Norton R and Yeager D (1985). A probability model for overflow sufficiency in small hash tables, Communications of the ACM, 28:10, (1068-1075), Online publication date: 1-Oct-1985.
- Langenhop C and Wright W An efficient model for representing and analyzing B-trees Proceedings of the 1985 ACM annual conference on The range of computing : mid-80's perspective: mid-80's perspective, (35-40)
- Slagle J and Hamburger H (1985). An expert system for a resource allocation problem, Communications of the ACM, 28:9, (994-1004), Online publication date: 1-Sep-1985.
- Ito T and Yu C Optimization of a hierarchical file organization for spelling correction Proceedings of the 8th annual international ACM SIGIR conference on Research and development in information retrieval, (131-137)
- Arnow D, Tenenbaum A and Wu C P-trees Proceedings of the 8th annual international ACM SIGIR conference on Research and development in information retrieval, (111-121)
- Van Vlierberghe S, Rijmenants J and Heyns W Symbolic hierarchical artwork generation system Proceedings of the 22nd ACM/IEEE Design Automation Conference, (789-793)
- Vitter J (1985). An efficient I/O interface for optical disks, ACM Transactions on Database Systems, 10:2, (129-162), Online publication date: 1-Jun-1985.
- Huang S (1985). Height-balanced trees of order (β, γ, δ), ACM Transactions on Database Systems, 10:2, (261-284), Online publication date: 1-Jun-1985.
- Avis D On the partitionability of point sets in space (preliminary report) Proceedings of the first annual symposium on Computational geometry, (116-120)
- Girardot J (1985). The APL 90 project, ACM SIGAPL APL Quote Quad, 15:4, (12-18), Online publication date: 12-May-1985.
- Maziar S (1985). Solution of the Tower of Hanoi problem using a binary tree, ACM SIGPLAN Notices, 20:5, (16-20), Online publication date: 1-May-1985.
- Kawagoe K (1985). Modified dynamic hashing, ACM SIGMOD Record, 14:4, (201-213), Online publication date: 1-May-1985.
- Kawagoe K Modified dynamic hashing Proceedings of the 1985 ACM SIGMOD international conference on Management of data, (201-213)
- Bentley J and McGeoch C (1985). Amortized analyses of self-organizing sequential search heuristics, Communications of the ACM, 28:4, (404-411), Online publication date: 1-Apr-1985.
- Wainwright R (1985). A class of sorting algorithms based on Quicksort, Communications of the ACM, 28:4, (396-402), Online publication date: 1-Apr-1985.
- Flajolet P (1985). Approximate counting: A detailed analysis, BIT, 25:1, (113-134), Online publication date: 1-Mar-1985.
- Bui T and Thanh M (1985). Significant improvements to the Ford-Johnson algorithm for sorting, BIT, 25:1, (70-75), Online publication date: 1-Mar-1985.
- Nicklin P, Powell G and Hollings J (1985). Hierarchical data management for structural analysis, Engineering with Computers, 1:1, (45-54), Online publication date: 1-Mar-1985.
- Sleator D and Tarjan R (1985). Amortized efficiency of list update and paging rules, Communications of the ACM, 28:2, (202-208), Online publication date: 1-Feb-1985.
- Lucier B (1985). A Stable Adaptive Numerical Scheme for Hyperbolic Conservation Laws, SIAM Journal on Numerical Analysis, 22:1, (180-203), Online publication date: 1-Feb-1985.
- Merritt S (1985). An inverted taxonomy of sorting algorithms, Communications of the ACM, 28:1, (96-99), Online publication date: 2-Jan-1985.
- Takagi N and Wong C (1985). A hardware sort-merge system, IBM Journal of Research and Development, 29:1, (49-67), Online publication date: 1-Jan-1985.
- Deogun J, Raghavan V and Tsou T (1984). Organization of clustered files for consecutive retrieval, ACM Transactions on Database Systems, 9:4, (646-671), Online publication date: 5-Dec-1984.
- Chen W and Vitter J (1984). Analysis of new variants of coalesced hashing, ACM Transactions on Database Systems, 9:4, (616-645), Online publication date: 5-Dec-1984.
- Sleator D and Tarjan R Amortized efficiency of list update rules Proceedings of the sixteenth annual ACM symposium on Theory of computing, (488-492)
- Gabow H, Bentley J and Tarjan R Scaling and related techniques for geometry problems Proceedings of the sixteenth annual ACM symposium on Theory of computing, (135-143)
- Leighton T Tight bounds on the complexity of parallel sorting Proceedings of the sixteenth annual ACM symposium on Theory of computing, (71-80)
- Rothblum U (1984). Explicit Solutions to Optimization Problems on the Intersections of the Unit Ball of the $l_1 $ and $l_\infty $ Norms with a Hyperplane, SIAM Journal on Algebraic and Discrete Methods, 5:4, (619-632), Online publication date: 1-Dec-1984.
- Manbar U and Ladner R (1984). Concurrency control in a dynamic search structure, ACM Transactions on Database Systems, 9:3, (439-455), Online publication date: 1-Sep-1984.
- Cooper R and Solomon M (1984). The average time until bucket overflow, ACM Transactions on Database Systems, 9:3, (392-408), Online publication date: 1-Sep-1984.
- Ibaraki T and Kameda T (1984). On the optimal nesting order for computing N-relational joins, ACM Transactions on Database Systems, 9:3, (482-502), Online publication date: 1-Sep-1984.
- Clerry J (1984). Compact Hash Tables Using Bidirectional Linear Probing, IEEE Transactions on Computers, 33:9, (828-834), Online publication date: 1-Sep-1984.
- Moon D Garbage collection in a large LISP system Proceedings of the 1984 ACM Symposium on LISP and functional programming, (235-246)
- Motzkin D, Williams K and Chang K Uniform organization of inverted files Proceedings of the July 9-12, 1984, national computer conference and exposition, (567-585)
- Fujisaki T A stochastic approach to sentence parsing Proceedings of the 10th International Conference on Computational Linguistics and 22nd annual meeting on Association for Computational Linguistics, (16-19)
- Larson P and Kajla A (1984). File organization, Communications of the ACM, 27:7, (670-677), Online publication date: 1-Jul-1984.
- Chang H and Iyangar S (1984). Efficient algorithms to globally balance a binary search tree, Communications of the ACM, 27:7, (695-702), Online publication date: 1-Jul-1984.
- Ja'Ja' J and Owens R (1984). VLSI Sorting with Reduced Hardware, IEEE Transactions on Computers, 33:7, (668-671), Online publication date: 1-Jul-1984.
- DeWitt D, Katz R, Olken F, Shapiro L, Stonebraker M and Wood D Implementation techniques for main memory database systems Proceedings of the 1984 ACM SIGMOD international conference on Management of data, (1-8)
- Fraser C, Myers E and Wendt A Analyzing and compressing assembly code Proceedings of the 1984 SIGPLAN symposium on Compiler construction, (117-121)
- Christodoulakis S (1984). Implications of certain assumptions in database performance evauation, ACM Transactions on Database Systems, 9:2, (163-186), Online publication date: 3-Jun-1984.
- Al-Suwaiyel M and Horowitz E (1984). Algorithms for trie compaction, ACM Transactions on Database Systems, 9:2, (243-263), Online publication date: 3-Jun-1984.
- DeWitt D, Katz R, Olken F, Shapiro L, Stonebraker M and Wood D (1984). Implementation techniques for main memory database systems, ACM SIGMOD Record, 14:2, (1-8), Online publication date: 1-Jun-1984.
- Haikala I (1984). Cache hit ratios with geometric task switch intervals, ACM SIGARCH Computer Architecture News, 12:3, (364-371), Online publication date: 1-Jun-1984.
- Fisher A (1984). Dictionary machines with a small number of processors, ACM SIGARCH Computer Architecture News, 12:3, (151-156), Online publication date: 1-Jun-1984.
- Fraser C, Myers E and Wendt A (1984). Analyzing and compressing assembly code, ACM SIGPLAN Notices, 19:6, (117-121), Online publication date: 1-Jun-1984.
- Tseng S and Lee R (1984). A new parallel sorting algorithm based upon min-mid-max operations, BIT, 24:2, (187-195), Online publication date: 1-Jun-1984.
- Shapiro H (1984). Increasing robustness in global adaptive quadrature through interval selection heuristics, ACM Transactions on Mathematical Software, 10:2, (117-139), Online publication date: 18-May-1984.
- Gupta G (1984). Self-assessment procedure XIII, Communications of the ACM, 27:5, (435-443), Online publication date: 1-May-1984.
- Garcia-Molina H, Lipton R and Valdes J (1984). A Massive Memory Machine, IEEE Transactions on Computers, 33:5, (391-399), Online publication date: 1-May-1984.
- Orenstein J and Merrett T A class of data structures for associative searching Proceedings of the 3rd ACM SIGACT-SIGMOD symposium on Principles of database systems, (181-190)
- Chang C (1984). The study of an ordered minimal perfect hashing scheme, Communications of the ACM, 27:4, (384-387), Online publication date: 1-Apr-1984.
- Vaishnavi V (1984). Multidimensional Height-Balanced Trees, IEEE Transactions on Computers, 33:4, (334-343), Online publication date: 1-Apr-1984.
- Valduriez P and Gardarin G (1984). Join and Semijoin Algorithms for a Multiprocessor Database Machine, ACM Transactions on Database Systems, 9:1, (133-161), Online publication date: 23-Mar-1984.
- Nievergelt J, Hinterberger H and Sevcik K (1984). The Grid File, ACM Transactions on Database Systems, 9:1, (38-71), Online publication date: 23-Mar-1984.
- Diehr G and Faaland B (1984). Optimal pagination of B-trees with variable-length items, Communications of the ACM, 27:3, (241-247), Online publication date: 1-Mar-1984.
- Benjamin W. Wah and Kuo-Liang Chen (1984). A Partitioning Approach to the Design of Selection Networks, IEEE Transactions on Computers, 33:3, (261-268), Online publication date: 1-Mar-1984.
- Myers E Efficient applicative data types Proceedings of the 11th ACM SIGACT-SIGPLAN symposium on Principles of programming languages, (66-75)
- Haikala I Cache hit ratios with geometric task switch intervals Proceedings of the 11th annual international symposium on Computer architecture, (364-371)
- Fisher A Dictionary machines with a small number of processors Proceedings of the 11th annual international symposium on Computer architecture, (151-156)
- King R, Korth H and Willner B (1984). Design of a document filing and retrieval system, ACM SIGMIS Database: the DATABASE for Advances in Information Systems, 15:2, (24-28), Online publication date: 1-Jan-1984.
- Larson P (1984). A method for speeding up text retrieval, ACM SIGMIS Database: the DATABASE for Advances in Information Systems, 15:2, (19-23), Online publication date: 1-Jan-1984.
- Sleator D and Tarjan R Self-adjusting binary trees Proceedings of the fifteenth annual ACM symposium on Theory of computing, (235-245)
- Barnes G (1983). Experiments with file accessing techniques, ACM SIGCSE Bulletin, 15:4, (3-7), Online publication date: 1-Dec-1983.
- Nishihara S and Ikeda K (1983). Reducing the retrieval time of hashing method by using predictors, Communications of the ACM, 26:12, (1082-1088), Online publication date: 1-Dec-1983.
- Gonnet G (1983). Balancing binary trees by internal path reduction, Communications of the ACM, 26:12, (1074-1081), Online publication date: 1-Dec-1983.
- Tierney L (1983). A Space-Efficient Recursive Procedure for Estimating a Quantile of an Unknown Distribution, SIAM Journal on Scientific and Statistical Computing, 4:4, (706-711), Online publication date: 1-Dec-1983.
- Thompson C (1983). The VLSI Complexity of Sorting, IEEE Transactions on Computers, 32:12, (1171-1184), Online publication date: 1-Dec-1983.
- Kessels J (1983). On-the-fly optimization of data structures, Communications of the ACM, 26:11, (895-901), Online publication date: 1-Nov-1983.
- Eppinger J (1983). An empirical study of insertion and deletion in binary search trees, Communications of the ACM, 26:9, (663-669), Online publication date: 1-Sep-1983.
- Watanabe T, Ohsawa T and Suzuki T (1983). A simple database language for personal computers, Communications of the ACM, 26:9, (646-653), Online publication date: 1-Sep-1983.
- Ito T and Kizawa M (1983). Hierarchical file organization and its application to similar-string matching, ACM Transactions on Database Systems, 8:3, (410-433), Online publication date: 1-Sep-1983.
- Bitton D, Boral H, DeWitt D and Wilkinson W (1983). Parallel algorithms for the execution of relational database operations, ACM Transactions on Database Systems, 8:3, (324-353), Online publication date: 1-Sep-1983.
- Dowd M, Perl Y, Saks M and Rudolph L The balanced sorting network Proceedings of the second annual ACM symposium on Principles of distributed computing, (161-172)
- (1983). The Complexity of Vertex Enumeration Methods, Mathematics of Operations Research, 8:3, (381-402), Online publication date: 1-Aug-1983.
- King R (1983). Research on synthesis of concurrent computing systems (Extended Abstract), ACM SIGARCH Computer Architecture News, 11:3, (39-46), Online publication date: 30-Jun-1983.
- King R Research on synthesis of concurrent computing systems (Extended Abstract) Proceedings of the 10th annual international symposium on Computer architecture, (39-46)
- Fraenkel A and Mor M Combinatorial compression and partitioning of large dictionaries Proceedings of the 6th annual international ACM SIGIR conference on Research and development in information retrieval, (205-219)
- Cook S (1983). An overview of computational complexity, Communications of the ACM, 26:6, (400-408), Online publication date: 1-Jun-1983.
- Bitton D and DeWitt D (1983). Duplicate record elimination in large data files, ACM Transactions on Database Systems, 8:2, (255-265), Online publication date: 1-Jun-1983.
- Fraenkel A and Mor M (1983). Combinatorial compression and partitioning of large dictionaries, ACM SIGIR Forum, 17:4, (205-219), Online publication date: 1-Jun-1983.
- Taylor R (1983). A general-purpose algorithm for analyzing concurrent programs, Communications of the ACM, 26:5, (361-376), Online publication date: 1-May-1983.
- Shallit J Merrily we roll along Proceedings of the international conference on APL, (243-249)
- Gonnet G Unstructured data bases or very efficient text searching Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems, (117-124)
- Burkhard W Interpolation-based index maintenance Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems, (76-89)
- Munro J and Poblete P A discipline for robustness or storage reduction in binary search trees Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems, (70-75)
- Shallit J (1983). Merrily we roll along, ACM SIGAPL APL Quote Quad, 13:3, (243-249), Online publication date: 1-Mar-1983.
- Asai H and Cheng C (1983). Speeding up an overrelaxation method of division in Radix-2 machine, Communications of the ACM, 26:3, (216-220), Online publication date: 1-Mar-1983.
- Dekel E and Sahni S (1983). Binary Trees and Parallel Scheduling Algorithms, IEEE Transactions on Computers, 32:3, (307-315), Online publication date: 1-Mar-1983.
- Kumar M and Hirschberg D (1983). An Efficient Implementation of Batcher's Odd-Even Merge Algorithm and Its Application in Parallel Sorting Schemes, IEEE Transactions on Computers, 32:3, (254-264), Online publication date: 1-Mar-1983.
- Meertens L Incremental polymorphic type checking in B Proceedings of the 10th ACM SIGACT-SIGPLAN symposium on Principles of programming languages, (265-275)
- Zeilberger D (1983). Andre's reflection proof generalized to the many-candidate ballot problem, Discrete Mathematics, 44:3, (325-326), Online publication date: 1-Jan-1983.
- Bonnin A and Pallo J (1983). A-transformation dans les arbres n-aires, Discrete Mathematics, 45:2-3, (153-163), Online publication date: 1-Jan-1983.
- McCormack W, Gray F and Haralick R A simulation model of a multi-computer system solving a combinatorial problem Proceedings of the 14th conference on Winter Simulation - Volume 1, (261-266)
- Vitter J (1982). Implementations for coalesced hashing, Communications of the ACM, 25:12, (911-926), Online publication date: 1-Dec-1982.
- Kerschberg L, Ting P and Yao S (1982). Query optimization in star computer networks, ACM Transactions on Database Systems, 7:4, (678-711), Online publication date: 1-Dec-1982.
- Batory D and Gotlieb C (1982). A unifying model of physical databases, ACM Transactions on Database Systems, 7:4, (509-539), Online publication date: 1-Dec-1982.
- Yasuura H, Takagi N and Yajima S (1982). The Parallel Enumeration Sorting Scheme for VLSI, IEEE Transactions on Computers, 31:12, (1192-1201), Online publication date: 1-Dec-1982.
- Baba T, Ishikawa K and Okuda K (1982). A Two-Level Microprogrammed Multiprocessor Computer with Nonnumeric Functions, IEEE Transactions on Computers, 31:12, (1142-1156), Online publication date: 1-Dec-1982.
- Nievergelt J and Preparata F (1982). Plane-sweep algorithms for intersecting geometric figures, Communications of the ACM, 25:10, (739-747), Online publication date: 1-Oct-1982.
- Pechura M (1982). File archival techniques using data compression, Communications of the ACM, 25:9, (605-609), Online publication date: 1-Sep-1982.
- Flanders P (1982). A Unified Approach to a Class of Data Movements on an Array Processor, IEEE Transactions on Computers, 31:9, (809-819), Online publication date: 1-Sep-1982.
- Andrews G, Dobkin D and Downey P Distributed allocation with pools of servers Proceedings of the first ACM SIGACT-SIGOPS symposium on Principles of distributed computing, (73-83)
- Frank A PANDA Proceedings of the 5th ACM SIGSMALL symposium on Small systems, (73-75)
- Heckbert P Color image quantization for frame buffer display Proceedings of the 9th annual conference on Computer graphics and interactive techniques, (297-307)
- Heckbert P (1982). Color image quantization for frame buffer display, ACM SIGGRAPH Computer Graphics, 16:3, (297-307), Online publication date: 1-Jul-1982.
- Vikas O and Rajaraman V (1982). Searching in a dynamic memory with fast sequential access, Communications of the ACM, 25:7, (479-484), Online publication date: 1-Jul-1982.
- Srihari S, Hull J and Choudhari R Integration of bottom-up and top-down contextual knowledge in text error correction Proceedings of the June 7-10, 1982, national computer conference, (501-508)
- Dong J and Hull R Applying approximate order dependency to reduce indexing space Proceedings of the 1982 ACM SIGMOD international conference on Management of data, (119-127)
- Dodds D (1982). Reducing dictionary size by using a hashing technique, Communications of the ACM, 25:6, (368-370), Online publication date: 1-Jun-1982.
- Borodin A and Hopcroft J Routing, merging and sorting on parallel models of computation Proceedings of the fourteenth annual ACM symposium on Theory of computing, (338-344)
- Hong Z and Sedgewick R Notes on merging networks (Prelimiary Version) Proceedings of the fourteenth annual ACM symposium on Theory of computing, (296-302)
- Clarke D An augmented directed graph base for application development Proceedings of the 20th annual Southeast regional conference, (155-159)
- Deminet J (1982). Experience with Multiprocessor Algorithms, IEEE Transactions on Computers, 31:4, (278-288), Online publication date: 1-Apr-1982.
- Gonnet G and Larson P External hasing with limited internal storage Proceedings of the 1st ACM SIGACT-SIGMOD symposium on Principles of database systems, (256-261)
- Tamminen M (1982). The extendible cell method for closest point problems, BIT, 22:1, (27-41), Online publication date: 1-Mar-1982.
- Dewar R, Merritt S and Sharir M (1982). Some modified algorithms for Dijkstra's longest upsequence problem, Acta Informatica, 18:1, (1-15), Online publication date: 1-Mar-1982.
- Tharp A Selecting the “right” programming language Proceedings of the thirteenth SIGCSE technical symposium on Computer science education, (151-155)
- Tharp A (1982). Selecting the “right” programming language, ACM SIGCSE Bulletin, 14:1, (151-155), Online publication date: 1-Feb-1982.
- Tamminen M and Sulonen R The excell method for efficient geometric access to data Proceedings of the 19th Design Automation Conference, (345-351)
- Bennett J A database management system for design engineers Proceedings of the 19th Design Automation Conference, (268-273)
- Cheung J, Dhall S, Lakshmivarahan S, Miller L and Walker B A new class of two stage parallel sorting schemes Proceedings of the ACM '82 conference, (26-29)
- Cercone N, Krause M and Boates J (1981). Lexicon design using perfect hash functions, ACM SIGSOC Bulletin, 13:2-3, (69-78), Online publication date: 1-Jan-1982.
- Hurtubise S, Gavin T and Girard A Adaptation of the TL event list algorithm to the GASP IV simulation language Proceedings of the 13th conference on Winter simulation - Volume 2, (599-609)
- Chang P and Jain R (1981). A multi-processor system for hidden-surface-removal, ACM SIGGRAPH Computer Graphics, 15:4, (405-436), Online publication date: 1-Dec-1981.
- Knowlton K (1981). Computer-aided definition, manipulation and depiction of objects composed of spheres, ACM SIGGRAPH Computer Graphics, 15:4, (352-375), Online publication date: 1-Dec-1981.
- McCormack W and Sargent R (1981). Analysis of future event set algorithms for discrete event simulation, Communications of the ACM, 24:12, (801-812), Online publication date: 1-Dec-1981.
- Larson P (1981). Analysis of index-sequential files with overflow chaining, ACM Transactions on Database Systems, 6:4, (671-680), Online publication date: 1-Dec-1981.
- Lehman P and Yao s (1981). Efficient locking for concurrent operations on B-trees, ACM Transactions on Database Systems, 6:4, (650-670), Online publication date: 1-Dec-1981.
- Bernecky R Representations for enclosed arrays Proceedings of the international conference on APL, (42-46)
- Bernecky R (1981). Representations for enclosed arrays, ACM SIGAPL APL Quote Quad, 12:1, (42-46), Online publication date: 1-Sep-1981.
- Culik K, Ottmann T and Wood D (1981). Dense multiway trees, ACM Transactions on Database Systems, 6:3, (486-512), Online publication date: 1-Sep-1981.
- March S, Severance D and Wilens M (1981). Frame memory, ACM Transactions on Database Systems, 6:3, (441-463), Online publication date: 1-Sep-1981.
- Butler G Double cosets and searching small groups Proceedings of the fourth ACM symposium on Symbolic and algebraic computation, (182-187)
- Brown C and Purdom, Jr. P (1981). An Average Time Analysis of Backtracking, SIAM Journal on Computing, 10:3, (583-593), Online publication date: 1-Aug-1981.
- Bongiovanni G and Wong C (1981). Tree Search in Major/Minor Loop Magnetic Bubble Memories, IEEE Transactions on Computers, 30:8, (537-545), Online publication date: 1-Aug-1981.
- Greenberg H and O'Neill R (1981). Representing super-sparse matrices with perturbed values, Communications of the ACM, 24:7, (451-456), Online publication date: 1-Jul-1981.
- Stonebraker M (1981). Operating system support for database management, Communications of the ACM, 24:7, (412-418), Online publication date: 1-Jul-1981.
- Wilmore J Efficient Boolean operations on IC masks Proceedings of the 18th Design Automation Conference, (571-579)
- Lauther U An O (N log N) algorithm for Boolean mask operations Proceedings of the 18th Design Automation Conference, (555-562)
- Turba T Checking for spelling and typographical errors in computer-based text Proceedings of the ACM SIGPLAN SIGOA symposium on Text manipulation, (51-60)
- Turba T (1981). Checking for spelling and typographical errors in computer-based text, ACM SIGPLAN Notices, 16:6, (51-60), Online publication date: 1-Jun-1981.
- Cercone N, Krause M and Boates J Lexicon design using perfect hash functions Proceedings of the Joint Conference on Easier and More Productive Use of Computer Systems. (Part - II): Human Interface and the User Interface - Volume 1981, (69-78)
- Wah B and Ma Y MANIP-a parallel computer system for implementing branch and bound algorithms Proceedings of the 8th annual symposium on Computer Architecture, (239-262)
- Smith J A study of branch prediction strategies Proceedings of the 8th annual symposium on Computer Architecture, (135-148)
- Jia-Wei H and Kung H I/O complexity Proceedings of the thirteenth annual ACM symposium on Theory of computing, (326-333)
- Galil Z and Paul W An efficient general purpose parallel computer Proceedings of the thirteenth annual ACM symposium on Theory of computing, (247-262)
- Gonnet G and Munro J A linear probing sort and its analysis(Preliminary Draft) Proceedings of the thirteenth annual ACM symposium on Theory of computing, (90-95)
- Sidney J (1981). A Decomposition Algorithm for Sequencing with General Precedence Constraints, Mathematics of Operations Research, 6:2, (190-204), Online publication date: 1-May-1981.
- Preparata F and Vuillemin J (1981). The cube-connected cycles: a versatile network for parallel computation, Communications of the ACM, 24:5, (300-309), Online publication date: 1-May-1981.
- Batory D B+ trees and indexed sequential files Proceedings of the 1981 ACM SIGMOD international conference on Management of data, (30-39)
- Knowlton K (1981). Computer-aided definition, manipulation and depiction of objects composed of spheres, ACM SIGGRAPH Computer Graphics, 15:1, (48-71), Online publication date: 1-Apr-1981.
- Turba T (1981). Checking for spelling and typographical errors in computer-based text, ACM SIGOA Newsletter, 2:1-2, (51-60), Online publication date: 1-Apr-1981.
- Cheatham T, Holloway G and Townley J Program refinement by transformation Proceedings of the 5th international conference on Software engineering, (430-437)
- Hultén C An index organization for applications with highly skewed access patterns Proceedings of the 5th international conference on Software engineering, (71-78)
- Rosenberg A and Snyder L (1981). Time- and space-optimality in B-trees, ACM Transactions on Database Systems, 6:1, (174-193), Online publication date: 1-Mar-1981.
- Scholl M (1981). New file organization based on dynamic hashing, ACM Transactions on Database Systems, 6:1, (194-211), Online publication date: 1-Mar-1981.
- Merritt S A top down approach to sorting Proceedings of the twelfth SIGCSE technical symposium on Computer science education, (192-195)
- Merritt S (1981). A top down approach to sorting, ACM SIGCSE Bulletin, 13:1, (192-195), Online publication date: 1-Feb-1981.
- Fredman M (1981). Lower Bounds on the Complexity of Some Optimal Data Structures, SIAM Journal on Computing, 10:1, (1-10), Online publication date: 1-Feb-1981.
- Kruskal C and Weixelbaum E (1981). A note on the worst case of heapsort, ACM SIGACT News, 13:1, (35-38), Online publication date: 1-Jan-1981.
- Aigner M (1981). Producing posets, Discrete Mathematics, 35:1-3, (1-15), Online publication date: 1-Jan-1981.
- Samet H (1980). Deletion in two-dimensional quad trees, Communications of the ACM, 23:12, (703-710), Online publication date: 1-Dec-1980.
- Peterson J (1980). Computer programs for detecting and correcting spelling errors, Communications of the ACM, 23:12, (676-687), Online publication date: 1-Dec-1980.
- Cook C and Kim D (1980). Best sorting algorithm for nearly sorted lists, Communications of the ACM, 23:11, (620-624), Online publication date: 1-Nov-1980.
- Pfaltz J, Berman W and Cagley E (1980). Partial-match retrieval using indexed descriptor files, Communications of the ACM, 23:9, (522-528), Online publication date: 1-Sep-1980.
- Kung H and Lehman P (1980). Concurrent manipulation of binary search trees, ACM Transactions on Database Systems, 5:3, (354-382), Online publication date: 1-Sep-1980.
- Lee D and Wong C (1980). Quintary trees, ACM Transactions on Database Systems, 5:3, (339-353), Online publication date: 1-Sep-1980.
- Steinacker I and Trost H (1980). Lexical analysis of German texts, ACM SIGLASH Newsletter, 13:3, (6-12), Online publication date: 1-Sep-1980.
- Bentley J, Haken D and Saxe J (1980). A general method for solving divide-and-conquer recurrences, ACM SIGACT News, 12:3, (36-44), Online publication date: 1-Sep-1980.
- Ellis C (1980). Concurrent Search and Insertion in AVL Trees, IEEE Transactions on Computers, 29:9, (811-817), Online publication date: 1-Sep-1980.
- Brown M and Tarjan R (1980). Design and Analysis of a Data Structure for Representing Sorted Lists, SIAM Journal on Computing, 9:3, (594-614), Online publication date: 1-Aug-1980.
- Yao A (1980). Bounds on Selection Networks, SIAM Journal on Computing, 9:3, (566-582), Online publication date: 1-Aug-1980.
- Bentley J and Wood D (1980). An Optimal Worst Case Algorithm for Reporting Intersections of Rectangles, IEEE Transactions on Computers, 29:7, (571-577), Online publication date: 1-Jul-1980.
- Tai K and Tharp A An introduction to computed chaining Proceedings of the May 19-22, 1980, national computer conference, (275-282)
- Epstein R and Hawthorn P Design decisions for the intelligent database machine Proceedings of the May 19-22, 1980, national computer conference, (237-241)
- Kwong Y and Wood D On B-trees Proceedings of the 1980 ACM SIGMOD international conference on Management of data, (207-211)
- Gudes E and Tsur S Experiments with B-tree reorganization Proceedings of the 1980 ACM SIGMOD international conference on Management of data, (200-206)
- Ahuja S and Roberts C An associative/parallel processor for partial match retrieval using superimposed codes Proceedings of the 7th annual symposium on Computer Architecture, (218-227)
- Gill A (1980). Hierarchical binary search, Communications of the ACM, 23:5, (294-300), Online publication date: 1-May-1980.
- Yao F Efficient dynamic programming using quadrangle inequalities Proceedings of the twelfth annual ACM symposium on Theory of computing, (429-435)
- Supowit K, Plaisted D and Reingold E Heuristics for weighted perfect matching Proceedings of the twelfth annual ACM symposium on Theory of computing, (398-419)
- Tompa M Two familiar transitive closure algorithms which admit no polynomial time, sublinear space implementations Proceedings of the twelfth annual ACM symposium on Theory of computing, (333-338)
- Yap C Space-time tradeoffs and first order problems in a model of programs Proceedings of the twelfth annual ACM symposium on Theory of computing, (318-325)
- Fischer M and Paterson M Optimal tree layout (Preliminary Version) Proceedings of the twelfth annual ACM symposium on Theory of computing, (177-189)
- Guibas L and Yao F On translating a set of rectangles Proceedings of the twelfth annual ACM symposium on Theory of computing, (154-160)
- Vuillemin J (1980). A unifying look at data structures, Communications of the ACM, 23:4, (229-239), Online publication date: 1-Apr-1980.
- Bentley J (1980). Multidimensional divide-and-conquer, Communications of the ACM, 23:4, (214-229), Online publication date: 1-Apr-1980.
- Turton T (1980). The management of operating system state data, ACM SIGOPS Operating Systems Review, 14:2, (21-24), Online publication date: 1-Apr-1980.
- Wright W An empirical evaluation of algorithms for dynamically maintaining binary search trees Proceedings of the ACM 1980 annual conference, (505-515)
- Cichelli R (1980). Minimal perfect hash functions made simple, Communications of the ACM, 23:1, (17-19), Online publication date: 1-Jan-1980.
- Pollard J (1979). On not storing the path of a random walk, BIT, 19:4, (545-548), Online publication date: 1-Dec-1979.
- Ashenhurst R (1979). ACM forum, Communications of the ACM, 22:11, (621-630), Online publication date: 1-Nov-1979.
- Tarjan R and Yao A (1979). Storing a sparse table, Communications of the ACM, 22:11, (606-611), Online publication date: 1-Nov-1979.
- Räihä K and Zweben S (1979). An optimal insertion algorithm for one-sided height-balanced binary search trees, Communications of the ACM, 22:9, (508-512), Online publication date: 1-Sep-1979.
- Comer D (1979). Heuristics for trie index minimization, ACM Transactions on Database Systems, 4:3, (383-395), Online publication date: 1-Sep-1979.
- Monma C and Sidney J (1979). Sequencing with Series-Parallel Precedence Constraints, Mathematics of Operations Research, 4:3, (215-224), Online publication date: 1-Aug-1979.
- Preparata F (1979). An optimal real-time algorithm for planar convex hulls, Communications of the ACM, 22:7, (402-405), Online publication date: 1-Jul-1979.
- Sucher D and Wann D A design aids data base for digital components Proceedings of the 16th Design Automation Conference, (414-420)
- Schek H and Walch G (1979). Information retrieval with APL by adaptive index and user guidance, ACM SIGAPL APL Quote Quad, 9:4-P1, (385-392), Online publication date: 1-Jun-1979.
- Burkhard W (1979). Partial-match hash coding, ACM Transactions on Database Systems, 4:2, (228-239), Online publication date: 1-Jun-1979.
- Aho A and Ullman J (1979). Optimal partial-match retrieval when fields are independently specified, ACM Transactions on Database Systems, 4:2, (168-179), Online publication date: 1-Jun-1979.
- Schek H and Walch G Information retrieval with APL by adaptive index and user guidance Proceedings of the international conference on APL: part 1, (385-392)
- Hunt H and Rosenkrantz D The complexity of testing predicate locks Proceedings of the 1979 ACM SIGMOD international conference on Management of data, (127-133)
- Yu C, Siu M, Lam K and Ozsoyoglu M Performance analysis of three related assignment problems Proceedings of the 1979 ACM SIGMOD international conference on Management of data, (82-92)
- Rosenberg A and Snyder L Compact B-trees Proceedings of the 1979 ACM SIGMOD international conference on Management of data, (43-51)
- Lomet D Multi-table search for B-tree files Proceedings of the 1979 ACM SIGMOD international conference on Management of data, (35-42)
- Munro J and Suwanda H Implicit data structures (Preliminary Draft) Proceedings of the eleventh annual ACM symposium on Theory of computing, (108-117)
- Flajolet P, Françon J and Vuillemin J Computing integrated costs of sequences of operations with application to dictionaries Proceedings of the eleventh annual ACM symposium on Theory of computing, (49-61)
- Babb E (1979). Implementing a relational database by means of specialzed hardware, ACM Transactions on Database Systems, 4:1, (1-29), Online publication date: 1-Mar-1979.
- Denardo E and Fox B (1979). Shortest-Route Methods, Operations Research, 27:1, (161-186), Online publication date: 1-Feb-1979.
- Tharp A (1979). Interactive computing in a project-oriented file organization course, ACM SIGCSE Bulletin, 11:1, (82-86), Online publication date: 1-Feb-1979.
- Tai K (1979). On the implementation of parsing tables, ACM SIGPLAN Notices, 14:1, (100-101), Online publication date: 1-Jan-1979.
- DeWitt H Applications of the theory of random graphs to average algorithm performance analysis Proceedings of the 1979 annual conference, (251-258)
- Wright W Organizing and accessing files for magnetic bubble memory and charge coupled devices Proceedings of the 1979 annual conference, (221-227)
- Tharp A Interactive computing in a project-oriented file organization course Proceedings of the tenth SIGCSE technical symposium on Computer science education, (82-86)
- Bongiovanni G and Luccio F (1979). Permutation of data blocks in a bubble memory, Communications of the ACM, 22:1, (21-25), Online publication date: 1-Jan-1979.
- Wright W Dynamic Binary Search Trees Proceedings of the 1978 annual conference, (370-374)
- Levin M An Introduction To DIAM Levels Of Abstraction In Accessing Information Proceedings of the 1978 annual conference, (357-369)
- Gitman I, Frank H and Slyke R Performance evaluation of packet radio systems by simulation—a case study Proceedings of the 10th conference on Winter simulation - Volume 2, (737-748)
- Gries D and Misra J (1978). A linear sieve algorithm for finding prime numbers, Communications of the ACM, 21:12, (999-1003), Online publication date: 1-Dec-1978.
- Kriegel H, Vaishnavi V and Wood D (1978). 2–3 brother trees, BIT, 18:4, (425-435), Online publication date: 1-Dec-1978.
- Mulvey J (1978). Testing of a large-scale network optimization program, Mathematical Programming: Series A and B, 15:1, (291-314), Online publication date: 1-Dec-1978.
- Sheil B (1978). Median split trees, Communications of the ACM, 21:11, (947-958), Online publication date: 1-Nov-1978.
- Luccio F and Pagli L (1978). Power trees, Communications of the ACM, 21:11, (941-947), Online publication date: 1-Nov-1978.
- Yao A (1978). On the Loop Switching Addressing Problem, SIAM Journal on Computing, 7:4, (515-523), Online publication date: 1-Nov-1978.
- Sedgewick R (1978). Implementing Quicksort programs, Communications of the ACM, 21:10, (847-857), Online publication date: 1-Oct-1978.
- McMaster C (1978). An analysis of algorithms for the Dutch National Flag Problem, Communications of the ACM, 21:10, (842-846), Online publication date: 1-Oct-1978.
- Shneiderman B (1978). Jump searching, Communications of the ACM, 21:10, (831-834), Online publication date: 1-Oct-1978.
- Tenenbaum A (1978). Simulations of dynamic sequential search algorithms, Communications of the ACM, 21:9, (790-791), Online publication date: 1-Sep-1978.
- Ottmann T, Six H and Wood D (1978). Right brother trees, Communications of the ACM, 21:9, (769-776), Online publication date: 1-Sep-1978.
- Yu C, Siu M and Lam K (1978). On a partitioning problem, ACM Transactions on Database Systems, 3:3, (299-309), Online publication date: 1-Sep-1978.
- Franklin R and Lewis H (1978). 3-D graphic display of discrete spatial data by prism maps, ACM SIGGRAPH Computer Graphics, 12:3, (70-75), Online publication date: 23-Aug-1978.
- Franklin R and Lewis H 3-D graphic display of discrete spatial data by prism maps Proceedings of the 5th annual conference on Computer graphics and interactive techniques, (70-75)
- Hirschberg D (1978). Fast parallel sorting algorithms, Communications of the ACM, 21:8, (657-661), Online publication date: 1-Aug-1978.
- Klip D (1978). A comparative study of algorithms for sparse polynomial multiplication, ACM SIGSAM Bulletin, 12:3, (12-24), Online publication date: 1-Aug-1978.
- Halatsis C and Philokyprou G (1978). Pseudochaining in hash tables, Communications of the ACM, 21:7, (554-557), Online publication date: 1-Jul-1978.
- Fredman M and Weide B (1978). On the complexity of computing the measure of ∪[ai,bi], Communications of the ACM, 21:7, (540-544), Online publication date: 1-Jul-1978.
- Driscoll J and Lien Y (1978). A selective traversal algorithm for binary search trees, Communications of the ACM, 21:6, (445-447), Online publication date: 1-Jun-1978.
- Zweben S and McDonald M (1978). An optimal method for deletion in one-sided height-balanced trees, Communications of the ACM, 21:6, (441-445), Online publication date: 1-Jun-1978.
- Yao S and DeJong D Evaluation of database access paths Proceedings of the 1978 ACM SIGMOD international conference on management of data, (66-77)
- Yang C Record block allocation for retrieval on secondary keys Proceedings of the 1st annual international ACM SIGIR conference on Information storage and retrieval, (83-108)
- Hill E Analysis of an inverted data base structure Proceedings of the 1st annual international ACM SIGIR conference on Information storage and retrieval, (37-64)
- Brown M and Tarjan R A representation for linear lists with movable fingers Proceedings of the tenth annual ACM symposium on Theory of computing, (19-29)
- Yang C (1978). Record block allocation for retrieval on secondary keys, ACM SIGIR Forum, 13:1, (83-108), Online publication date: 1-May-1978.
- Hill E (1978). Analysis of an inverted data base structure, ACM SIGIR Forum, 13:1, (37-64), Online publication date: 1-May-1978.
- Lipski W (1978). On strings containing all subsets as substrings, Discrete Mathematics, 21:3, (253-259), Online publication date: 1-May-1978.
- Smith J A hardware hashing mechanism Proceedings of the 16th annual Southeast regional conference, (187-189)
- Cannady J Balancing methods for binary search trees Proceedings of the 16th annual Southeast regional conference, (181-186)
- Jino M and Liu J Intelligent magnetic bubble memories Proceedings of the 5th annual symposium on Computer architecture, (166-174)
- Mukhopadhyay A Hardware algorithms for nonnumeric computation Proceedings of the 5th annual symposium on Computer architecture, (8-16)
- Vuillemin J (1978). A data structure for manipulating priority queues, Communications of the ACM, 21:4, (309-315), Online publication date: 1-Apr-1978.
- Heckel P (1978). A technique for isolating differences between files, Communications of the ACM, 21:4, (264-268), Online publication date: 1-Apr-1978.
- Kosaraju S (1978). Insertions and deletions in one-sided height-balanced trees, Communications of the ACM, 21:3, (226-227), Online publication date: 1-Mar-1978.
- Mathis R A first course in computer science for graduate students Papers of the SIGCSE/CSA technical symposium on Computer science education, (217-223)
- Mathis R (1978). A first course in computer science for graduate students, ACM SIGCSE Bulletin, 10:1, (217-223), Online publication date: 1-Feb-1978.
- Held G and Stonebraker M (1978). B-trees re-examined, Communications of the ACM, 21:2, (139-143), Online publication date: 1-Feb-1978.
- Schmeiser B The generation of order statistics in digital computer simulation Proceedings of the 10th conference on Winter simulation - Volume 1, (137-140)
- Irby T Minimizing the retrieval time of scatter storage techniques Proceedings of the 1978 annual conference - Volume 2, (834-839)
- Luckham D A brief account Proceedings of the 1978 annual conference - Volume 2, (786-792)
- Fuchs H and Kedem Z The “highly intelligent” tablet as an efficient pointing device for interactive graphics (Preliminary Report) Proceedings of the 1978 annual conference - Volume 2, (765-769)
- Downey P, Samet H and Sethi R Off-line and on-line algorithms for deducing equalities Proceedings of the 5th ACM SIGACT-SIGPLAN symposium on Principles of programming languages, (158-170)
- Cousot P and Halbwachs N Automatic discovery of linear restraints among variables of a program Proceedings of the 5th ACM SIGACT-SIGPLAN symposium on Principles of programming languages, (84-96)
- Ashenhurst R (1977). ACM forum, Communications of the ACM, 20:9, (678-680), Online publication date: 1-Sep-1977.
- McCreight E (1977). Pagination of B*-trees with variable-length records, Communications of the ACM, 20:9, (670-674), Online publication date: 1-Sep-1977.
- Minker J (1977). Information storage and retrieval, ACM SIGIR Forum, 12:2, (12-108), Online publication date: 1-Sep-1977.
- Bézivin J, Gauduel F, Nebut J and Rannou R On the necessary evolution towards improvement specialization in software production teams Proceedings of the fifteenth annual SIGCPR conference, (190-202)
- Merrett T Database cost analysis Proceedings of the 1977 ACM SIGMOD international conference on Management of data, (135-143)
- Rumsey J and Walker R (1977). A practical approach to implementing line printer graphics, ACM SIGGRAPH Computer Graphics, 11:2, (102-106), Online publication date: 1-Aug-1977.
- Liskov B, Snyder A, Atkinson R and Schaffert C (1977). Abstraction mechanisms in CLU, Communications of the ACM, 20:8, (564-576), Online publication date: 1-Aug-1977.
- Rumsey J and Walker R A practical approach to implementing line printer graphics Proceedings of the 4th annual conference on Computer graphics and interactive techniques, (102-106)
- McKinney M Variable-length hash area entries Proceedings of the June 13-16, 1977, national computer conference, (371-377)
- Bird R (1977). Notes on recursion elimination, Communications of the ACM, 20:6, (434-439), Online publication date: 1-Jun-1977.
- Gonnet G and Munro I The analysis of an improved hashing technique Proceedings of the ninth annual ACM symposium on Theory of computing, (113-121)
- Guibas L, McCreight E, Plass M and Roberts J A new representation for linear lists Proceedings of the ninth annual ACM symposium on Theory of computing, (49-60)
- Brown M The complexity of priority queue maintenance Proceedings of the ninth annual ACM symposium on Theory of computing, (42-48)
- Tarjan R Reference machines require non-linear time to maintain disjoint sets Proceedings of the ninth annual ACM symposium on Theory of computing, (18-29)
- Baer J and Schwab B (1977). A comparison of tree-balancing algorithms, Communications of the ACM, 20:5, (322-330), Online publication date: 1-May-1977.
- McKinney M Multi-attribute inverted files implemented with hashing Proceedings of the 15th annual Southeast regional conference, (371-376)
- Thompson C and Kung H (1977). Sorting on a mesh-connected parallel computer, Communications of the ACM, 20:4, (263-271), Online publication date: 1-Apr-1977.
- Maruyama K and Smith S (1977). Analysis of design alternatives for virtual memory indexes, Communications of the ACM, 20:4, (245-254), Online publication date: 1-Apr-1977.
- Siegel H (1977). The universality of various types of SIMD machine interconnection networks, ACM SIGARCH Computer Architecture News, 5:7, (70-79), Online publication date: 17-Mar-1977.
- Siegel H The universality of various types of SIMD machine interconnection networks Proceedings of the 4th annual symposium on Computer architecture, (70-79)
- Bayer R and Unterauer K (1977). Prefix B-trees, ACM Transactions on Database Systems, 2:1, (11-26), Online publication date: 1-Mar-1977.
- Taylor R Teaching programming to beginners Proceedings of the seventh SIGCSE technical symposium on Computer science education, (88-92)
- Taylor R (1977). Teaching programming to beginners, ACM SIGCSE Bulletin, 9:1, (88-92), Online publication date: 1-Feb-1977.
- Knott G (1977). A numbering system for binary trees, Communications of the ACM, 20:2, (113-115), Online publication date: 1-Feb-1977.
- Easton M and Bennett B (1977). Transient-free working-set statistics, Communications of the ACM, 20:2, (93-99), Online publication date: 1-Feb-1977.
- Gudes E (1977). Teaching data base systems using date and computing surveys, ACM SIGMOD Record, 9:1, (47-49), Online publication date: 1-Jan-1977.
- Wattenbarger D, Bailey J and Martinez S Interactive system for controlled vocabulary maintenance Proceedings of the 1977 annual conference, (79-85)
- Nicolas G and Lewis J A MUMPS-based Relational Data Base system (MRDB) Proceedings of the 1976 annual conference, (324-328)
- Browne J A critical overview of computer performance evaluation Proceedings of the 2nd international conference on Software engineering, (138-145)
- Antonacci F, Dell'Orco P and Spadavecchia V AQL Proceedings of the eighth international conference on APL, (31-42)
- Yap C (1976). New upper bounds for selection, Communications of the ACM, 19:9, (501-508), Online publication date: 1-Sep-1976.
- Shneiderman B (1976). Batched searching of sequential and tree structured files, ACM Transactions on Database Systems, 1:3, (268-275), Online publication date: 1-Sep-1976.
- Severance D and Lohman G (1976). Differential files, ACM Transactions on Database Systems, 1:3, (256-267), Online publication date: 1-Sep-1976.
- Goto E and Kanada Y Hashing LEMMAs on time complexities with applications to formula manipulation Proceedings of the third ACM symposium on Symbolic and algebraic computation, (154-158)
- Hirschberg D (1976). An insertion technique for one-sided height-balanced trees, Communications of the ACM, 19:8, (471-473), Online publication date: 1-Aug-1976.
- Gonnet G (1976). Heaps applied to event driven mechanisms, Communications of the ACM, 19:7, (417-418), Online publication date: 1-Jul-1976.
- Maly K (1976). Compressed tries, Communications of the ACM, 19:7, (409-415), Online publication date: 1-Jul-1976.
- Misra J A principle of algorithm design on limited problem domain Proceedings of the 13th Design Automation Conference, (479-483)
- Giordano N and Schwartz M Data base recovery at CMIC Proceedings of the 1976 ACM SIGMOD international conference on Management of data, (33-42)
- Severance D and Duhne R (1976). A practitioner's guide to addressing algorithms, Communications of the ACM, 19:6, (314-326), Online publication date: 1-Jun-1976.
- Burkhard W (1976). Hashing and trie algorithms for partial match retrieval, ACM Transactions on Database Systems, 1:2, (175-187), Online publication date: 1-Jun-1976.
- Lee D and Preparata F Location of a point in a planar subdivision and its applications Proceedings of the eighth annual ACM symposium on Theory of computing, (231-235)
- Burkhard W Associative retrieval trie hash-coding (Extended Abstract) Proceedings of the eighth annual ACM symposium on Theory of computing, (211-219)
- Guibas L and Szemeredi E The analysis of double hashing(Extended Abstract) Proceedings of the eighth annual ACM symposium on Theory of computing, (187-191)
- Thompson C and Kung H Sorting on a mesh-connected parallel computer Proceedings of the eighth annual ACM symposium on Theory of computing, (58-64)
- Reiter A (1976). Some experiments in directory organization, ACM SIGSIM Simulation Digest, 7:3, (21-29), Online publication date: 1-Apr-1976.
- Reiter A Some experiments in directory organization - a simulation study Proceedings of the 1976 ACM SIGMETRICS conference on Computer performance modeling measurement and evaluation, (1-9)
- Vallarino O On the use of bit maps for multiple key retrieval Proceedings of the 1976 conference on Data : Abstraction, definition and structure, (108-114)
- Nordström B An outline of a mathematical model for the definition and manipulation of data Proceedings of the 1976 conference on Data : Abstraction, definition and structure, (1-11)
- Vallarino O (1976). On the use of bit maps for multiple key retrieval, ACM SIGPLAN Notices, 11:SI, (108-114), Online publication date: 15-Mar-1976.
- Nordström B (1976). An outline of a mathematical model for the definition and manipulation of data, ACM SIGPLAN Notices, 11:SI, (1-11), Online publication date: 15-Mar-1976.
- Vallarino O (1976). On the use of bit maps for multiple key retrieval, ACM SIGMOD Record, 8:2, (108-114), Online publication date: 1-Mar-1976.
- Nordström B (1976). An outline of a mathematical model for the definition and manipulation of data, ACM SIGMOD Record, 8:2, (1-11), Online publication date: 1-Mar-1976.
- Bayer R and Metzger J (1976). On the encipherment of search trees and random access files, ACM Transactions on Database Systems, 1:1, (37-52), Online publication date: 1-Mar-1976.
- Meinke J and Bauer C (1976). The IIT MST in computer science program, ACM SIGCUE Outlook, 10:SI, (35-38), Online publication date: 1-Feb-1976.
- Meinke J and Bauer C (1976). The IIT MST in computer science program, ACM SIGCSE Bulletin, 8:1, (35-38), Online publication date: 1-Feb-1976.
- Meinke J and Bauer C The IIT MST in computer science program Proceedings of the ACM SIGCSE-SIGCUE technical symposium on Computer science and education, (35-38)
- Rivest R (1976). On self-organizing sequential search heuristics, Communications of the ACM, 19:2, (63-67), Online publication date: 1-Feb-1976.
- Karlton P, Fuller S, Scroggs R and Kaehler E (1976). Performance of height-balanced trees, Communications of the ACM, 19:1, (23-28), Online publication date: 1-Jan-1976.
- Hecht M and Ullman J (1975). A Simple Algorithm for Global Data Flow Analysis Problems, SIAM Journal on Computing, 4:4, (519-532), Online publication date: 1-Dec-1975.
- Gavril F (1975). Merging with parallel processors, Communications of the ACM, 18:10, (588-591), Online publication date: 1-Oct-1975.
- Burkhard W Partial-match queries and file designs Proceedings of the 1st International Conference on Very Large Data Bases, (523-525)
- Schroeder J, Kiefer W, Guertin R and Berman W Stanford's generalized database system Proceedings of the 1st International Conference on Very Large Data Bases, (120-143)
- Reiter A Data models for secondary storage representations Proceedings of the 1st International Conference on Very Large Data Bases, (87-119)
- Bentley J (1975). Multidimensional binary search trees used for associative searching, Communications of the ACM, 18:9, (509-517), Online publication date: 1-Sep-1975.
- Bobrow D (1975). A note on hash linking, Communications of the ACM, 18:7, (413-415), Online publication date: 1-Jul-1975.
- Harper L, Payne T, Savage J and Straus E (1975). Sorting X + Y, Communications of the ACM, 18:6, (347-349), Online publication date: 1-Jun-1975.
- Deutscher R, Sorenson P and Tremblay J Distribution-dependent hashing functions and their characteristics Proceedings of the 1975 ACM SIGMOD international conference on Management of data, (224-236)
- Gotlieb L Computing joins of relations Proceedings of the 1975 ACM SIGMOD international conference on Management of data, (55-63)
- Shamos M Geometric complexity Proceedings of the seventh annual ACM symposium on Theory of computing, (224-233)
- Rosenberg A and Stockmeyer L Hashing schemes for extendible arrays (Extended Abstract) Proceedings of the seventh annual ACM symposium on Theory of computing, (159-166)
- Valiant L On non-linear lower bounds in computational complexity Proceedings of the seventh annual ACM symposium on Theory of computing, (45-53)
- Vaucher J and Duval P (1975). A comparison of simulation event list algorithms, Communications of the ACM, 18:4, (223-230), Online publication date: 1-Apr-1975.
- Ripley G (1975). A course in effective programming, ACM SIGCSE Bulletin, 7:1, (102-108), Online publication date: 1-Feb-1975.
- Ripley G A course in effective programming Proceedings of the fifth SIGCSE technical symposium on Computer science education, (102-108)
- Shneiderman B (1974). Opportunities for data base reorganization, ACM SIGMOD Record, 6:4, (1-8), Online publication date: 1-Dec-1974.
- De Bruijn N (1974). Sorting by means of swappings, Discrete Mathematics, 9:4, (333-339), Online publication date: 1-Oct-1974.
- Johnson S (1974). Sparse polynomial arithmetic, ACM SIGSAM Bulletin, 8:3, (63-71), Online publication date: 1-Aug-1974.
- Bahr K and Smit J (1974). Tuning an algebraic manipulation system through measurements, ACM SIGSAM Bulletin, 8:3, (17-23), Online publication date: 1-Aug-1974.
- Lecarme O (1974). Structured programming, programming teaching and the language Pascal, ACM SIGPLAN Notices, 9:7, (15-21), Online publication date: 1-Jul-1974.
- Dobkin D and Lipton R On some generalizations of binary search Proceedings of the sixth annual ACM symposium on Theory of computing, (310-316)
- Hyafil L, Prusker F and Vuillemin J An efficient algorithm for computing optimal disk merge patterns. (Extended Abstract) Proceedings of the sixth annual ACM symposium on Theory of computing, (216-229)
- Horvath E Efficient stable sorting with minimal extra space Proceedings of the sixth annual ACM symposium on Theory of computing, (194-215)
- Rothnie J and Lozano T (1974). Attribute based file organization in a paged memory environment, Communications of the ACM, 17:2, (63-69), Online publication date: 1-Feb-1974.
- D'Dell M and Thompson J APL\XAD Proceedings of the sixth international conference on APL, (405-413)
- Babad J, Balachandran V and Stohr E Cost evaluation of storage schemes Proceedings of the 1974 annual conference - Volume 1, (54-60)
- Hopcroft J and Ullman J (1973). Set Merging Algorithms, SIAM Journal on Computing, 2:4, (294-303), Online publication date: 1-Dec-1973.
- Adolphson D and Hu T (1973). Optimal Linear Ordering, SIAM Journal on Applied Mathematics, 25:3, (403-423), Online publication date: 1-Nov-1973.
- Aygun B Environments for monitoring and dynamic analysis of execution Proceedings of the 1st symposium on Simulation of computer systems, (178-197)
- Engel G (1973). A computer science course program for small colleges, Communications of the ACM, 16:3, (139-147), Online publication date: 1-Mar-1973.
- Liu C (1972). Analysis and Synthesis of Sorting Algorithms, SIAM Journal on Computing, 1:4, (290-304), Online publication date: 1-Dec-1972.
- Frazer W and Wong C (1972). Sorting by natural selection, Communications of the ACM, 15:10, (910-913), Online publication date: 1-Oct-1972.
- Fischer P Theory of computing in computer science education Proceedings of the May 16-18, 1972, spring joint computer conference, (857-864)
- Reingold E Establishing lower bounds on algorithms Proceedings of the May 16-18, 1972, spring joint computer conference, (471-481)
- Nievergelt J Binary search trees and file organization Proceedings of 1972 ACM-SIGFIDET workshop on Data description, access and control, (165-187)
- Austing R and Engel G Computer science education in small colleges - a report with recommendations Proceedings of the annual ACM SIGUCCS symposium on The administration and management of small-college computing centers, (62-71)
- Demeure N, Chevalier C, Denis C and Dossantos-Uzarralde P Algorithm xxx: Encapsulated error, a direct approach to evaluate floating-point accuracy, ACM Transactions on Mathematical Software, 0:0
- McNaughton R (1968). Automata, formal languages abstract switching, and computability in a Ph.D. computer science program, Communications of the ACM, 11:11, (738-740), Online publication date: 1-Nov-1968.
Index Terms
- The art of computer programming, volume 3: (2nd ed.) sorting and searching