skip to main content
Skip header Section
Hardware-software co-design of embedded systems: the POLIS approachOctober 1997
Publisher:
  • Kluwer Academic Publishers
  • 101 Philip Drive Assinippi Park Norwell, MA
  • United States
ISBN:978-0-7923-9936-0
Published:01 October 1997
Pages:
269
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Skip Table Of Content Section
chapter
Introduction
pp 1–34
chapter
Models and representations
pp 36–123
chapter
Synthesis
pp 125–155
chapter
Verification
pp 199–246
chapter
chapter
Design examples
pp 255–272

Cited By

  1. Su Z, Wang D, Yang Y, Jiang Y, Chang W, Fang L, Li W and Sun J (2022). Code Synthesis for Dataflow-Based Embedded Software Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41:1, (49-61), Online publication date: 1-Jan-2022.
  2. Su Z, Wang D, Yang Y, Yu Z, Chang W, Li W, Cui A, Jiang Y and Sun J (2022). MDD: A Unified Model-Driven Design Framework for Embedded Control Software, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41:10, (3252-3265), Online publication date: 1-Oct-2022.
  3. ACM
    Khasanov R, Robledo J, Menard C, Goens A and Castrillon J (2021). Domain-specific Hybrid Mapping for Energy-efficient Baseband Processing in Wireless Networks, ACM Transactions on Embedded Computing Systems, 20:5s, (1-26), Online publication date: 31-Oct-2021.
  4. Lazreg S, Cordy M, Collet P, Heymans P and Mosser S Multifaceted automated analyses for variability-intensive embedded systems Proceedings of the 41st International Conference on Software Engineering, (854-865)
  5. ACM
    Lazreg S, Collet P and Mosser S Assessing the functional feasibility of variability-intensive data flow-oriented systems Proceedings of the 33rd Annual ACM Symposium on Applied Computing, (2066-2075)
  6. ACM
    Lazreg S, Collet P and Mosser S (2018). Functional feasibility analysis of variability-intensive data flow-oriented applications over highly-configurable platforms, ACM SIGAPP Applied Computing Review, 18:3, (32-48), Online publication date: 11-Oct-2018.
  7. Graham R, Bureddy D, Lui P, Rosenstock H, Shainer G, Bloch G, Goldenerg D, Dubman M, Kotchubievsky S, Koushnir V, Levi L, Margolin A, Ronen T, Shpiner A, Wertheim O and Zahavi E Scalable hierarchical aggregation protocol (SHArP) Proceedings of the First Workshop on Optimization of Communication in HPC, (1-10)
  8. ACM
    Zhang H, Jiang Y, Liu H, Zhang H, Gu M and Sun J Model driven design of heterogeneous synchronous embedded systems Proceedings of the 31st IEEE/ACM International Conference on Automated Software Engineering, (774-779)
  9. Building faithful high-level models and performance evaluation of manycore embedded systems Proceedings of the Twelfth ACM/IEEE Conference on Formal Methods and Models for Codesign, (209-218)
  10. ACM
    Rosales R, Glass M, Teich J, Wang B, Xu Y and Hasholzner R (2014). MAESTRO— Holistic Actor-Oriented Modeling of Nonfunctional Properties and Firmware Behavior for MPSoCs, ACM Transactions on Design Automation of Electronic Systems, 19:3, (1-26), Online publication date: 1-Jun-2014.
  11. ACM
    Jiang Y, Zhang H, Zhang H, Zhao X, Liu H, Sun C, Song X, Gu M and Sun J Tsmart-GalsBlock: a toolkit for modeling, validation, and synthesis of multi-clocked embedded systems Proceedings of the 22nd ACM SIGSOFT International Symposium on Foundations of Software Engineering, (711-714)
  12. Graf S, Glaß M, Teich J and Lauer C Multi-variant-based design space exploration for automotive embedded systems Proceedings of the conference on Design, Automation & Test in Europe, (1-6)
  13. Ayestaran I, Nicolas C, Perez J, Larrucea A and Puschner P A Simulated Fault Injection Framework for Time-Triggered Safety-Critical Embedded Systems Proceedings of the 33rd International Conference on Computer Safety, Reliability, and Security - Volume 8666, (1-16)
  14. ACM
    Davare A, Densmore D, Guo L, Passerone R, Sangiovanni-Vincentelli A, Simalatsar A and Zhu Q (2013). metroII, ACM Transactions on Embedded Computing Systems, 12:1s, (1-31), Online publication date: 1-Mar-2013.
  15. ACM
    Barkati K and Jouvelot P (2013). Synchronous programming in audio processing, ACM Computing Surveys, 46:2, (1-35), Online publication date: 1-Nov-2013.
  16. Houben F, Igna G and Vaandrager F (2013). Modeling task systems using parameterized partial orders, International Journal on Software Tools for Technology Transfer (STTT), 15:3, (269-286), Online publication date: 1-Jun-2013.
  17. ACM
    Charalampidis D and Haralambides J A multilevel, multidimensional undergraduate course and lab experience on embedded multimedia systems Proceedings of the 43rd ACM technical symposium on Computer Science Education, (631-636)
  18. Basten T, Hendriks M, Somers L and Trčka N Model-Driven design-space exploration for software-intensive embedded systems Proceedings of the 10th international conference on Formal Modeling and Analysis of Timed Systems, (1-6)
  19. ACM
    Shannon L and Chow P (2011). Leveraging reconfigurability in the hardware/software codesign process, ACM Transactions on Reconfigurable Technology and Systems, 4:3, (1-27), Online publication date: 1-Aug-2011.
  20. ACM
    Sun W and Salcic Z (2011). GALS-Designer, ACM Transactions on Design Automation of Electronic Systems, 16:4, (1-24), Online publication date: 1-Oct-2011.
  21. Cunsolo V, Distefano S, Puliafito A and Scarpa M Applying Software Engineering Principles for Designing Cloud@Home Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing, (618-624)
  22. Becker M, Di Guglielmo G, Fummi F, Mueller W, Pravadelli G and Xie T RTOS-aware refinement for TLM2.0-based HW/SW designs Proceedings of the Conference on Design, Automation and Test in Europe, (1053-1058)
  23. Yang Y, Geilen M, Basten T, Stuijk S and Corporaal H Automated bottleneck-driven design-space exploration of media processing systems Proceedings of the Conference on Design, Automation and Test in Europe, (1041-1046)
  24. Basten T, Van Benthum E, Geilen M, Hendriks M, Houben F, Igna G, Reckers F, De Smet S, Somers L, Teeselink E, Trčka N, Vaandrager F, Verriet J, Voorhoeve M and Yang Y Model-driven design-space exploration for embedded systems Proceedings of the 4th international conference on Leveraging applications of formal methods, verification, and validation - Volume Part I, (90-105)
  25. ACM
    Fummi F, Loghi M, Poncino M and Pravadelli G (2009). A cosimulation methodology for HW/SW validation and performance estimation, ACM Transactions on Design Automation of Electronic Systems, 14:2, (1-32), Online publication date: 1-Mar-2009.
  26. ACM
    Vahid F (2009). What is hardware/software partitioning?, ACM SIGDA Newsletter, 39:6, (1-1), Online publication date: 1-Jun-2009.
  27. Hao K and Xie F Componentizing hardware/software interface design Proceedings of the Conference on Design, Automation and Test in Europe, (232-237)
  28. Abdi S, Schirner G, Viskic I, Cho H, Hwang Y, Yu L and Gajski D Hardware-dependent software synthesis for many-core embedded systems Proceedings of the 2009 Asia and South Pacific Design Automation Conference, (304-310)
  29. Park J, Lee S and Chung K (2009). A novel SoC platform based multi-IP verification and performance measurement, International Journal of Information and Communication Technology, 2:1/2, (120-131), Online publication date: 1-Jun-2009.
  30. Zompakis N, Trautmann M, Bartzas A, Mamagkakis S, Soudris D, Van der Perre L and Catthoor F Multi-granularity noc simulation framework for early phase exploration of SDR hardware platforms Proceedings of the 19th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (165-174)
  31. ACM
    So H and Brodersen R (2008). A unified hardware/software runtime environment for FPGA-based reconfigurable computers using BORPH, ACM Transactions on Embedded Computing Systems, 7:2, (1-28), Online publication date: 1-Feb-2008.
  32. ACM
    Meyerowitz T, Sangiovanni-Vincentelli A, Sauermann M and Langen D Source-level timing annotation and simulation for a heterogeneous multiprocessor Proceedings of the conference on Design, automation and test in Europe, (276-279)
  33. ACM
    Apvrille L TTool for DIPLODOCUS Proceedings of the 8th international conference on New technologies in distributed systems, (1-4)
  34. Schirner G, Gerstlauer A and Dömer R Automatic generation of hardware dependent software for MPSoCs from abstract system specifications Proceedings of the 2008 Asia and South Pacific Design Automation Conference, (271-276)
  35. Dömer R, Gerstlauer A, Peng J, Shin D, Cai L, Yu H, Abdi S and Gajski D (2008). System-on-chip environment, EURASIP Journal on Embedded Systems, 2008, (1-13), Online publication date: 30-Jan-2008.
  36. Nedjah N and de Macedo Mourelle L (2007). Efficient and secure cryptographic systems based on addition chains, Integration, the VLSI Journal, 40:1, (36-44), Online publication date: 1-Jan-2007.
  37. ACM
    Ha S, Kim S, Lee C, Yi Y, Kwon S and Joo Y (2008). PeaCE, ACM Transactions on Design Automation of Electronic Systems, 12:3, (1-25), Online publication date: 17-Aug-2007.
  38. ACM
    Tibboel W, Reyes V, Klompstra M and Alders D System-level design flow based on a functional reference for HW and SW Proceedings of the 44th annual Design Automation Conference, (23-28)
  39. ACM
    Gädtke S, Traulsen C and von Hanxleden R HW/SW co-design for Esterel processing Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, (99-104)
  40. Destro P, Fummi F and Pravadelli G A smooth refinement flow for co-designing HW and SW threads Proceedings of the conference on Design, automation and test in Europe, (105-110)
  41. Erbas C, Pimentel A, Thompson M and Polstra S (2007). A framework for system-level modeling and simulation of embedded systems architectures, EURASIP Journal on Embedded Systems, 2007:1, (2-2), Online publication date: 1-Jan-2007.
  42. Sangiovanni-Vincentelli A and Di Natale M (2007). Embedded System Design for Automotive Applications, Computer, 40:10, (42-51), Online publication date: 1-Oct-2007.
  43. ACM
    Li X and von Hanxleden R A concurrent reactive Esterel processor based on multi-threading Proceedings of the 2006 ACM symposium on Applied computing, (912-917)
  44. ACM
    Li X, Boldt M and von Hanxleden R Mapping esterel onto a multi-threaded embedded processor Proceedings of the 12th international conference on Architectural support for programming languages and operating systems, (303-314)
  45. ACM
    Li X, Boldt M and von Hanxleden R (2006). Mapping esterel onto a multi-threaded embedded processor, ACM SIGOPS Operating Systems Review, 40:5, (303-314), Online publication date: 20-Oct-2006.
  46. ACM
    Li X, Boldt M and von Hanxleden R (2006). Mapping esterel onto a multi-threaded embedded processor, ACM SIGPLAN Notices, 41:11, (303-314), Online publication date: 1-Nov-2006.
  47. ACM
    Li X, Boldt M and von Hanxleden R (2006). Mapping esterel onto a multi-threaded embedded processor, ACM SIGARCH Computer Architecture News, 34:5, (303-314), Online publication date: 20-Oct-2006.
  48. Wild T, Herkersdorf A and Ohlendorf R Performance evaluation for system-on-chip architectures using trace-based transaction level simulation Proceedings of the conference on Design, automation and test in Europe: Proceedings, (248-253)
  49. Yun J, Kim G, Son C and Han T Automatic generation of hardware/software interface with product-specific debugging tools Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing, (742-753)
  50. The SystemJ approach to system-level design Proceedings of the Fourth ACM/IEEE International Conference on Formal Methods and Models for Co-Design, (149-158)
  51. Zergainoh N, Tambour L, Urard P and Jerraya A (2006). Macrocell builder, EURASIP Journal on Advances in Signal Processing, 2006, (65-65), Online publication date: 1-Jan-2006.
  52. Bombieri N, Fummi F and Pravadelli G Hardware design and simulation for verification Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems, (1-29)
  53. Cheun D, Yu T, Chang S and Kim S A technical assessment of soc methodologies and requirements for a full-blown methodology Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part II, (451-461)
  54. Pimentel A, Erbas C and Polstra S (2006). A Systematic Approach to Exploring Embedded System Architectures at Multiple Abstraction Levels, IEEE Transactions on Computers, 55:2, (99-112), Online publication date: 1-Feb-2006.
  55. Moullec Y, Diguet J, Amor N, Gourdeaux T and Philippe J (2006). Algorithmic-level Specification and Characterization of Embedded Multimedia Applications with Design Trotter, Journal of VLSI Signal Processing Systems, 42:2, (185-208), Online publication date: 1-Feb-2006.
  56. Bouyssounouse B and Sifakis J Computing platforms Embedded Systems Design, (388-449)
  57. Barbieri I, Bariani M, Cabitto A and Raggio M (2005). A Simulation and Exploration Technology for Multimedia-Application-Driven Architectures, Journal of VLSI Signal Processing Systems, 41:2, (153-168), Online publication date: 1-Sep-2005.
  58. ACM
    Mitra A, Lajolo M and Lahiri K SOFTENIT Proceedings of the 15th ACM Great Lakes symposium on VLSI, (361-366)
  59. ACM
    Edwards S and Tardieu O SHIM Proceedings of the 5th ACM international conference on Embedded software, (264-272)
  60. ACM
    Li X, Lukoschus J, Boldt M, Harder M and von Hanxleden R An Esterel processor with full preemption support and its worst case reaction time analysis Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems, (225-236)
  61. Fummi F, Loghi M, Martini S, Monguzzi M, Perbellini G and Poncino M Virtual Hardware Prototyping through Timed Hardware-Software Co-Simulation Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (798-803)
  62. Lavagno L, Passerone C, Shah V and Watanabe Y A Time Slice Based Scheduler Model for System Level Design Proceedings of the conference on Design, Automation and Test in Europe - Volume 1, (378-383)
  63. Wan K, Alagar V and Paquet J An architecture for developing context-aware systems Proceedings of the Second international conference on Modeling and Retrieval of Context, (48-61)
  64. Kasten O and Römer K Beyond event handlers Proceedings of the 4th international symposium on Information processing in sensor networks, (7-es)
  65. Belanovic P, Knerr B, Holzer M, Sauzon G and Rupp M (2005). A consistent design methodology for wireless embedded systems, EURASIP Journal on Advances in Signal Processing, 2005, (2598-2612), Online publication date: 1-Jan-2005.
  66. Nambiar A and Chaudhary V On tools for modeling high-performance embedded systems Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing, (360-370)
  67. Wagner F, Cesário W, Carro L and Jerraya A (2004). Strategies for the integration of hardware and software IP components in embedded systems-on-chip, Integration, the VLSI Journal, 37:4, (223-252), Online publication date: 1-Sep-2004.
  68. Efficient code synthesis from synchronous dataflow graphs Proceedings of the Second ACM/IEEE International Conference on Formal Methods and Models for Co-Design, (83-92)
  69. ACM
    Formaggio L, Fummi F and Pravadelli G A timing-accurate HW/SW co-simulation of an ISS with SystemC Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (152-157)
  70. Posadas H, Herrera F, Sánchez P, Villar E and Blasco F System-Level Performance Analysis in SystemC Proceedings of the conference on Design, automation and test in Europe - Volume 1
  71. Fummi F, Martini S, Perbellini G and Poncino M Native ISS-SystemC Integration for the Co-Simulation of Multi-Processor SoC Proceedings of the conference on Design, automation and test in Europe - Volume 1
  72. Green P and Essa S Integrating the Synchronous Dataflow Model with UML Proceedings of the conference on Design, automation and test in Europe - Volume 1
  73. Yu H, Dömer R and Gajski D Embedded software generation from system level design languages Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (463-468)
  74. Muraoka M, Nishi H, Morizawa R, Yokota H and Hamada H Design methodology for SoC arthitectures based on reusable virtual cores Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (256-262)
  75. ACM
    Sangiovanni-Vincentelli A, Carloni L, De Bernardinis F and Sgroi M Benefits and challenges for platform-based design Proceedings of the 41st annual Design Automation Conference, (409-414)
  76. ACM
    Shannon L and Chow P Using reconfigurability to achieve real-time profiling for hardware/software codesign Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, (190-199)
  77. Xiong Z, Li S, Chen J and Zhang M Hardware/software co-design environment for hierarchical platform-based design Proceedings of the 8th international conference on Computer Supported Cooperative Work in Design I, (242-251)
  78. Balarin F, Watanabe Y, Hsieh H, Lavagno L, Passerone C and Sangiovanni-Vincentelli A (2003). Metropolis, Computer, 36:4, (45-52), Online publication date: 1-Apr-2003.
  79. Benini L, Bertozzi D, Bruni D, Drago N, Fummi F and Poncino M (2003). SystemC Cosimulation and Emulation of Multiprocessor SoC Designs, Computer, 36:4, (53-59), Online publication date: 1-Apr-2003.
  80. ACM
    Spivey G, Bhattacharyya S and Nakajima K Logic foundry Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (374-381)
  81. ACM
    Muraoka M, Hamada H, Nishi H, Tada T, Onishi Y, Hosokawa T and Yoshida K VCore-based design methodology Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (441-445)
  82. ACM
    Nishi H, Muraoka M, Morizawa R, Yokota H and Hamada H Synthesis for SoC architecture using VCores Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (446-452)
  83. ACM
    Jiang Y and Brayton R Don't cares in logic minimization of extended finite state machines Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (809-815)
  84. Wild T, Foag J, Pazos N and Brunnbauer W Mapping and Scheduling for Architecture Exploration of Networking SoCs Proceedings of the 16th International Conference on VLSI Design
  85. Di Natale M and Saksena M Schedulability analysis with UML UML for real, (241-269)
  86. Vanzago L, Bhattacharya B, Cambonie J and Lavagno L Design Space Exploration for a Wireless Protocol on a Reconfigurable Platform Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  87. Wang S, Malik S and Bergamaschi R Modeling and Integration of Peripheral Devices in Embedded Systems Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  88. Ogawa O, de Noyer S, Chauvet P, Shinohara K, Watanabe Y, Niizuma H, Sasaki T and Takai Y A Practical Approach for Bus Architecture Optimization at Transaction Level Proceedings of the conference on Design, Automation and Test in Europe: Designers' Forum - Volume 2
  89. Spivey G, Bhattacharyya S and Nakajima K (2003). Logic foundry, EURASIP Journal on Advances in Signal Processing, 2003, (565-579), Online publication date: 1-Jan-2003.
  90. ACM
    Coffland J and Pimentel A A software framework for efficient system-level performance evaluation of embedded systems Proceedings of the 2003 ACM symposium on Applied computing, (666-671)
  91. ACM
    Paul J Programmers' views of SoCs Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (156-181)
  92. ACM
    Wang S and Malik S Synthesizing operating system based device drivers in embedded systems Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (37-44)
  93. ACM
    Bergamaschi R and Jiang Y State-based power analysis for systems-on-chip Proceedings of the 40th annual Design Automation Conference, (638-641)
  94. ACM
    Pimentel A and Erbas C An IDF-based trace transformation method for communication refinement Proceedings of the 40th annual Design Automation Conference, (402-407)
  95. ACM
    Fin A, Fummi F and Pravadelli G Mixing ATPG and property checking for testing HW/SW interfaces Proceedings of the 13th ACM Great Lakes symposium on VLSI, (303-306)
  96. Hsiung P and Su F Synthesis of Real-Time Embedded Software by Timed Quasi-Static Scheduling Proceedings of the 16th International Conference on VLSI Design
  97. Roop P, Salcic Z, Biglari-Abhari M and Bigdeli A A New Reactive Processor with Architectural Support for Control Dominated Embedded Systems Proceedings of the 16th International Conference on VLSI Design
  98. ACM
    Jiang Y and Brayton R Software synthesis from synchronous specifications using logic simulation techniques Proceedings of the 39th annual Design Automation Conference, (319-324)
  99. ACM
    Lahiri K, Dey S and Raghunathan A Communication architecture based power management for battery efficient system design Proceedings of the 39th annual Design Automation Conference, (691-696)
  100. Green P, Edwards M and Essa S (2002). HASoC--Towards a New Method for System-on-a-Chip Development, Design Automation for Embedded Systems, 6:4, (333-353), Online publication date: 1-Jul-2002.
  101. Nicolescu G, Martinez S, Kriaa L, Youssef W, Yoo S, Charlot B and Jerraya A Application of Multi-domain and Multi-language Cosimulation To an Optical MEM Switch Design Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  102. Pimentel A, Polstra S, Terpstra F, van Halderen A, Coffland J and Hertzberger L Towards efficient design space exploration of heterogeneous embedded media systems Embedded processor design challenges, (57-73)
  103. Paul J, Suppé A, Adams H and Thomas D Multi-Level Modeling of Software on Hardware in Concurrent Computation Proceedings of the 16th International Parallel and Distributed Processing Symposium
  104. ACM
    Lahiri K, Raghunathan A and Dey S Fast system-level power profiling for battery-efficient system design Proceedings of the tenth international symposium on Hardware/software codesign, (157-162)
  105. ACM
    Baleani M, Gennari F, Jiang Y, Patel Y, Brayton R and Sangiovanni-Vincentelli A HW/SW partitioning and code generation of embedded control applications on a reconfigurable architecture platform Proceedings of the tenth international symposium on Hardware/software codesign, (151-156)
  106. ACM
    Chiodo M Optimization and synthesis for complex reactive embedded systems by incremental collapsing Proceedings of the tenth international symposium on Hardware/software codesign, (115-120)
  107. ACM
    Bjuréus P, Millberg M and Jantsch A FPGA resource and timing estimation from Matlab execution traces Proceedings of the tenth international symposium on Hardware/software codesign, (31-36)
  108. ACM
    Björklund D and Lilius J A language for multiple models of computation Proceedings of the tenth international symposium on Hardware/software codesign, (25-30)
  109. ACM
    Zhu Q, Matsuda A, Kuwamura S, Nakata T and Shoji M An object-oriented design process for system-on-chip using UML Proceedings of the 15th international symposium on System Synthesis, (249-254)
  110. ACM
    Cabodi G, Lazarescu M, Lavagno L, Nocco S, Passerone C and Quer S A symbolic approach for the combined solution of scheduling and allocation Proceedings of the 15th international symposium on System Synthesis, (237-242)
  111. ACM
    Nicolescu G, Yoo S, Bouchhima A and Jerraya A Validation in a component-based design flow for multicore SoCs Proceedings of the 15th international symposium on System Synthesis, (162-167)
  112. ACM
    Mohanty S, Prasanna V, Neema S and Davis J (2002). Rapid design space exploration of heterogeneous embedded systems using symbolic search and multi-granular simulation, ACM SIGPLAN Notices, 37:7, (18-27), Online publication date: 17-Jul-2002.
  113. ACM
    Cesário W, Baghdadi A, Gauthier L, Lyonnard D, Nicolescu G, Paviot Y, Yoo S, Jerraya A and Diaz-Nava M Component-based design approach for multicore SoCs Proceedings of the 39th annual Design Automation Conference, (789-794)
  114. ACM
    Mohanty S, Prasanna V, Neema S and Davis J Rapid design space exploration of heterogeneous embedded systems using symbolic search and multi-granular simulation Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems, (18-27)
  115. Kienhuis B, Deprettere E, van der Wolf P and Vissers K A methodology to design programmble embedded systems Embedded processor design challenges, (18-37)
  116. Živković V and Lieverse P An overview of methodologies and tools in the field of system-level design Embedded processor design challenges, (74-88)
  117. Bowen J and Jifeng H (2001). An Approach to the Specification and Verification of a Hardware Compilation Scheme, The Journal of Supercomputing, 19:1, (23-39), Online publication date: 1-May-2001.
  118. O'nils M and Jantsch A (2001). Device Driver and DMA Controller Synthesis from HW /SW Communication Protocol Specifications, Design Automation for Embedded Systems, 6:2, (177-205), Online publication date: 1-Apr-2001.
  119. ACM
    Gerin P, Yoo S, Nicolescu G and Jerraya A Scalable and flexible cosimulation of SoC designs with heterogeneous multi-processor target architectures Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (63-68)
  120. Hommais D, Pétrot F and Augé I A Tool Box to Map System Level Communications on HW/SW Architectures Proceedings of the 12th International Workshop on Rapid System Prototyping
  121. ACM
    Sgroi M, Sheets M, Mihal A, Keutzer K, Malik S, Rabaey J and Sangiovanni-Vencentelli A Addressing the system-on-a-chip interconnect woes through communication-based design Proceedings of the 38th annual Design Automation Conference, (667-672)
  122. ACM
    Lahiri K, Raghunathan A and Lakshminarayana G LOTTERYBUS Proceedings of the 38th annual Design Automation Conference, (15-20)
  123. Marculescu R, Nandi A, Lavagno L and Sangiovanni-Vincentelli A System-level power/performance analysis of portable multimedia systems communicating over wireless channels Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (207-214)
  124. Lieverse P, Stefanov T, van der Wolf P and Deprettere E System level design with spade Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (31-38)
  125. Wong C, Marchal P, Yang P, Catthoor F, de Man H, Prayati A, Cossement N, Lauwereins R and Verkest D Task concurrency management methodology summary Proceedings of the conference on Design, automation and test in Europe
  126. Giusto P, Martin G and Harcourt E Reliable estimation of execution time of embedded software Proceedings of the conference on Design, automation and test in Europe, (580-589)
  127. Marculescu R and Nandi A Probabilistic application modeling for system-level perfromance analysis Proceedings of the conference on Design, automation and test in Europe, (572-579)
  128. Baghdadi A, Lyonnard D, Zergainoh N and Jerraya A An efficient architecture model for systematic design of application-specific multiprocessor SoC Proceedings of the conference on Design, automation and test in Europe, (55-63)
  129. ACM
    La Rosa A, Lavagno L and Passerone C A software development tool chain for a reconfigurable processor Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems, (93-98)
  130. ACM
    Sangiovanni-Vincentelli A and Martin G A vision for embedded software Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems, (1-7)
  131. ACM
    Paul J, Suppé A and Thomas D Modeling and simulation of steady state and transient behaviors for emergent SoCs Proceedings of the 14th international symposium on Systems synthesis, (262-267)
  132. ACM
    Bjuréus P and Jantsch A Performance analysis with confidence intervals for embedded software processes Proceedings of the 14th international symposium on Systems synthesis, (45-50)
  133. ACM
    Bakshi A, Prasanna V and Ledeczi A MILAN Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems, (82-93)
  134. ACM
    Bakshi A, Prasanna V and Ledeczi A MILAN Proceedings of the ACM SIGPLAN workshop on Languages, compilers and tools for embedded systems, (82-93)
  135. ACM
    Bakshi A, Prasanna V and Ledeczi A (2001). MILAN, ACM SIGPLAN Notices, 36:8, (82-93), Online publication date: 1-Aug-2001.
  136. ACM
    Hsiung P Formal synthesis and code generation of embedded real-time software Proceedings of the ninth international symposium on Hardware/software codesign, (208-213)
  137. ACM
    Wong C, Marchal P and Yang P Task concurrency management methodology to schedule the MPEG4 IM1 player on a highly parallel processor platform Proceedings of the ninth international symposium on Hardware/software codesign, (170-177)
  138. ACM
    Lieverse P, Wolf P and Deprettere E A trace transformation technique for communication refinement Proceedings of the ninth international symposium on Hardware/software codesign, (134-139)
  139. ACM
    Murthy P, Cohen E and Rowland S System canvas Proceedings of the ninth international symposium on Hardware/software codesign, (54-59)
  140. ACM
    Hommais D, Pétrot F and Augé I A practical tool box for system level communication synthesis Proceedings of the ninth international symposium on Hardware/software codesign, (48-53)
  141. ACM
    Vanmeerbeeck G, Schaumont P, Vernalde S, Engels M and Bolsens I Hardware/software partitioning of embedded system in OCAPI-xl Proceedings of the ninth international symposium on Hardware/software codesign, (30-35)
  142. ACM
    Martin G, Lavagno L and Louis-Guerin J Embedded UML Proceedings of the ninth international symposium on Hardware/software codesign, (23-28)
  143. ACM
    Tibrewala N, Paul J and Thomas D Modeling and evaluation of hardware/software designs Proceedings of the ninth international symposium on Hardware/software codesign, (11-16)
  144. ACM
    Nandi A and Marculescu R System-level power/performance analysis for embedded systems design Proceedings of the 38th annual Design Automation Conference, (599-604)
  145. Sangiovanni-Vincentelli A and Martin G (2001). Platform-Based Design and Software Design Methodology for Embedded Systems, IEEE Design & Test, 18:6, (23-33), Online publication date: 1-Nov-2001.
  146. ACM
    Reyneri L, Cucinotta F, Serra A and Lavagno L A hardware/software co-design flow and IP library based on simulink Proceedings of the 38th annual Design Automation Conference, (593-598)
  147. Berry G and Sentovich E (2000). An Implementation of Constructive Synchronous Programs in POLIS, Formal Methods in System Design, 17:2, (135-161), Online publication date: 1-Oct-2000.
  148. Mooney V and Micheli G (2000). Hardware/Software Co-Design of Run-Time Schedulers for Real-Time Systems, Design Automation for Embedded Systems, 6:1, (89-144), Online publication date: 1-Sep-2000.
  149. ACM
    Bammi J, Kruijtzer W, Lavagno L, Harcourt E and Lazarescu M Software performance estimation strategies in a system-level design tool Proceedings of the eighth international workshop on Hardware/software codesign, (82-86)
  150. ACM
    Meerwein M, Baumgartner C and Glauert W Linking codesign and reuse in embedded systems design Proceedings of the eighth international workshop on Hardware/software codesign, (93-97)
  151. ACM
    Tabbara B, Tabbara A and Sangiovanni-Vincentelli A Task response time optimization using cost-based operation motion Proceedings of the eighth international workshop on Hardware/software codesign, (110-114)
  152. ACM
    Paul J, Peffers S and Thomas D Frequency interleaving as a codesign scheduling paradigm Proceedings of the eighth international workshop on Hardware/software codesign, (131-135)
  153. ACM
    Lajolo M, Lavagno L, Rebaudengo M, Reorda M and Violante M Automatic test bench generation for simulation-based validation Proceedings of the eighth international workshop on Hardware/software codesign, (136-140)
  154. ACM
    Buck J and Vaidyanathan R Heterogeneous modeling and simulation of embedded systems in El Greco Proceedings of the eighth international workshop on Hardware/software codesign, (142-146)
  155. ACM
    da Silva J, Sgroi M, De Bernardinis F, Li S, Sangiovanni-Vincentelli A and Rabaey J Wireless protocols design Proceedings of the eighth international workshop on Hardware/software codesign, (147-151)
  156. ACM
    Paul J, Peffers S and Thomas D A codesign virtual machine for hierarchical, balanced hardware/software system modeling Proceedings of the 37th Annual Design Automation Conference, (390-395)
  157. ACM
    de Kock E, Smits W, van der Wolf P, Brunel J, Kruijtzer W, Lieverse P, Vissers K and Essink G YAPI Proceedings of the 37th Annual Design Automation Conference, (402-405)
  158. ACM
    Kim C, Lavagno L and Sangiovanni-Vincentelli A Free MDD-based software optimization techniques for embedded systems Proceedings of the conference on Design, automation and test in Europe, (14-19)
  159. ACM
    Lajolo M, Raghunathan A and Dey S Efficient power co-estimation techniques for system-on-chip design Proceedings of the conference on Design, automation and test in Europe, (27-34)
  160. ACM
    Baleani M, Ferrari A, Sangiovanni-Vincentelli A and Turchetti C HW/SW codesign of an engine management system Proceedings of the conference on Design, automation and test in Europe, (263-269)
  161. ACM
    Balarin F Automatic abstraciton for worst-case analysis of discrete systems Proceedings of the conference on Design, automation and test in Europe, (494-501)
  162. ACM
    Lajolo M, Rebaudengo M, Roerda M, Violante M and Lavagno L Evaluating system dependability in a co-design framework Proceedings of the conference on Design, automation and test in Europe, (586-590)
  163. ACM
    Yoo S, Lee J, Jung J, Rha K, Cho Y and Choi K Fast hardware-software coverification by optimistic execution of real processor Proceedings of the conference on Design, automation and test in Europe, (663-668)
  164. ACM
    Ramanathan D, Jejurikar R and Gupta R Timing driven co-design of networked embedded systems Proceedings of the 2000 Asia and South Pacific Design Automation Conference, (117-122)
  165. ACM
    Ernst R and Jerraya A embedded system design with multiple languages Proceedings of the 2000 Asia and South Pacific Design Automation Conference, (391-396)
  166. Eisenring M, Thiele L and Zitzler E (2000). Conflicting Criteria in Embedded System Design, IEEE Design & Test, 17:2, (51-59), Online publication date: 1-Apr-2000.
  167. Muth A and Färber G SDL as a system level specification language for application-specific hardware in a rapid prototyping environment Proceedings of the 13th international symposium on System synthesis, (157-162)
  168. Meerwein M, Baumgartner C, Wieja T and Glauert W Embedded systems verification with FGPA-enhanced in-circuit emulator Proceedings of the 13th international symposium on System synthesis, (143-148)
  169. ACM
    van der Wolf P, Lieverse P, Goel M, La Hei D and Vissers K An MPEG-2 decoder case study as a driver for a system level design methodology Proceedings of the seventh international workshop on Hardware/software codesign, (33-37)
  170. ACM
    Clouté F, Contensou J, Esteve D, Pampagnin P, Pons P and Favard Y Hardware/software co-design of an avionics communication protocol interface system Proceedings of the seventh international workshop on Hardware/software codesign, (48-52)
  171. ACM
    Coste P, Hessel F, Le Marrec P, Sugar Z, Romdhani M, Suescun R, Zergainoh N and Jarraya A Multilanguage design of heterogeneous systems Proceedings of the seventh international workshop on Hardware/software codesign, (54-58)
  172. ACM
    Lajolo M, Lazarescu M and Sangiovanni-Vincentelli A A compilation-based software estimation scheme for hardware/software co-simulation Proceedings of the seventh international workshop on Hardware/software codesign, (85-89)
  173. ACM
    Hsiung P Timing coverification of concurrent embedded real-time systems Proceedings of the seventh international workshop on Hardware/software codesign, (110-114)
  174. ACM
    Brunel J, de Kock E, Kruijtzer W, Kenter H and Smits W Communication refinement in video systems on chip Proceedings of the seventh international workshop on Hardware/software codesign, (142-146)
  175. ACM
    Bates I, Chester E and Kinniment D A statechart based HW/SW codesign system Proceedings of the seventh international workshop on Hardware/software codesign, (162-166)
  176. ACM
    Ramanathan D, Dasdan A and Gupta R Timing-driven HW/SW codesign based on task structuring and process timing simulation Proceedings of the seventh international workshop on Hardware/software codesign, (203-207)
  177. ACM
    Cabodi G, Camurati P, Passerone C and Quer S Computing timed transition relations for sequential cycle-based simulation Proceedings of the conference on Design, automation and test in Europe, (4-es)
  178. ACM
    Dave B CRUSADE Proceedings of the conference on Design, automation and test in Europe, (22-es)
  179. ACM
    O'Nils M and Jantsch A Operating system sensitive device driver synthesis from implementation independent protocol specification Proceedings of the conference on Design, automation and test in Europe, (113-es)
  180. ACM
    Lavagno L and Sentovich E ECL Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (511-516)
  181. ACM
    Guerra L, Fitzner J, Talukdar D, Schläger C, Tabbara B and Zivojnovic V Cycle and phase accurate DSP modeling and integration for HW/SW co-verification Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (964-969)
  182. Chiaberge M, Miranda E and Reyneri L An HW/SWCo-Design Approach for Neuro-Fuzzy Hardware Design Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
  183. Lahiri K, Raghunathan A and Dey S Fast performance analysis of bus-based system-on-chip communication architectures Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (566-573)
  184. Thiele L, Strehl K, Ziegenbein D, Ernst R and Teich J FunState—an internal design representation for codesign Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (558-565)
  185. Hsieh H and Balarin F Synchronous equivalence for embedded systems Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (505-510)
  186. Verkest D, Da Silva J, Ykman C, Croes K, Miranda M, Wuytack S, Catthoor F, De Jong G and De Man H (1999). Matisse, Journal of VLSI Signal Processing Systems, 21:3, (185-194), Online publication date: 1-Jul-1999.
  187. ACM
    Cuatto T, Passeronge C, Lavagno L, Jurecska A, Damiano A, Sansoè C, Sangiovanni-Vincentelli A and Sangiovanni-Vincentelli A A case study in embedded system design Proceedings of the 35th annual Design Automation Conference, (804-807)
  188. ACM
    Hong Y, Beerel P, Lavagno L and Sentovich E Don't care-based BDD minimization for embedded software Proceedings of the 35th annual Design Automation Conference, (506-509)
  189. Martin G Design methodologies for system level IP Proceedings of the conference on Design, automation and test in Europe, (286-289)
  190. Filippi E, Lavagno L, Licciardi L, Montanaro A, Paolini M, Passerone R, Sgroi M and Sangiovanni-Vincentelli A Intellectual property re-use in embedded system co-design Proceedings of the 11th international symposium on System synthesis, (37-42)
  191. Lajolo M, Raghunathan A, Dey S, Lavagno L and Sangiovanni-Vincentelli A A case study on modeling shared memory access effects during performance analysis of HW/SW systems Proceedings of the 6th international workshop on Hardware/software codesign, (117-121)
  192. Liu J, Lajolo M and Sangiovanni-Vincentelli A Software timing analysis using HW/SW cosimulation and instruction set simulator Proceedings of the 6th international workshop on Hardware/software codesign, (65-69)
  193. Eisenring M and Teich J Domain-specific interface generation from dataflow specifications Proceedings of the 6th international workshop on Hardware/software codesign, (43-47)
  194. Passerone C, Passerone R, Sansoè C, Martin J, Sangiovanni-Vincentelli A and McGeer R Modeling reactive systems in Java Proceedings of the 6th international workshop on Hardware/software codesign, (15-19)
  195. ACM
    Dasdan A, Ramanathan D and Gupta R Rate derivation and its applications to reactive, real-time embedded systems Proceedings of the 35th annual Design Automation Conference, (263-268)
Contributors
  • Cadence Design Systems
  • Cadence Design Systems
  • General Motors
  • University of California, Riverside
  • Kohlberg Kravis Roberts & Co. L.P.
  • Polytechnic of Turin
  • Polytechnic of Turin
  • Department of Electrical Engineering and Computer Sciences
  • Synopsys Incorporated
  • Hitachi, Ltd.
  • University of California, Berkeley

Recommendations