skip to main content
Benchmarking modern multiprocessors
Publisher:
  • Princeton University
  • Computer Science Dept. Engineering Quadrangle Princeton, NJ
  • United States
ISBN:978-1-124-49186-8
Order Number:AAI3445564
Pages:
187
Bibliometrics
Skip Abstract Section
Abstract

Benchmarking has become one of the most important methods for quantitative performance evaluation of processor and computer system designs. Benchmarking of modern multiprocessors such as chip multiprocessors is challenging because of their application domain, scalability and parallelism requirements. In my thesis, I have developed a methodology to design effective benchmark suites and demonstrated its effectiveness by developing and deploying a benchmark suite for evaluating multiprocessors.

More specifically, this thesis includes several contributions. First, the thesis shows that a new benchmark suite for multiprocessors is needed because the behavior of modern parallel programs is significantly different from those represented by SPLASH-2, the most popular parallel benchmark suite developed over ten years ago. Second, the thesis quantitatively describes the requirements and characteristics of a set of multithreaded programs and their underlying technology trends. Third, the thesis presents a systematic approach to scale and select benchmark inputs with the goal of optimizing benchmarking accuracy subject to constrained execution or simulation time. Finally, the thesis describes a parallel benchmark suite called PARSEC for evaluating modern shared-memory multiprocessors. Since its initial release, PARSEC has been adopted by many architecture groups in both research and industry.

Cited By

  1. ACM
    Kaur J and Das S (2023). RSPP: Restricted Static Pseudo-Partitioning for Mitigation of Cross-Core Covert Channel Attacks, ACM Transactions on Design Automation of Electronic Systems, 29:2, (1-22), Online publication date: 31-Mar-2024.
  2. ACM
    Deb D and Jose J (2023). ZPP: A Dynamic Technique to Eliminate Cache Pollution in NoC based MPSoCs, ACM Transactions on Embedded Computing Systems, 22:5s, (1-25), Online publication date: 31-Oct-2023.
  3. ACM
    Ni Y, Mehra P, Miller E and Litz H TMC Proceedings of the 2023 ACM Symposium on Cloud Computing, (376-393)
  4. ACM
    Patel S, Agrawal S, Fedorova A and Seltzer M CHERI-picking Proceedings of the 12th Workshop on Programming Languages and Operating Systems, (58-65)
  5. ACM
    Dong W and Ren J AutoConstruct: Automated Neural Surrogate Model Building and Deployment for HPC Applications Proceedings of the 13th Workshop on AI and Scientific Computing at Scale using Flexible Computing, (33-40)
  6. ACM
    Dong W, Kestor G and Li D Auto-HPCnet: An Automatic Framework to Build Neural Network-based Surrogate for High-Performance Computing Applications Proceedings of the 32nd International Symposium on High-Performance Parallel and Distributed Computing, (31-44)
  7. ACM
    Aoun A, Masadeh M and Tahar S A Machine Learning Based Load Value Approximator Guided by the Tightened Value Locality Proceedings of the Great Lakes Symposium on VLSI 2023, (679-684)
  8. Novković B and Golub M (2023). Improving monolithic kernel security and robustness through intra-kernel sandboxing, Computers and Security, 127:C, Online publication date: 1-Apr-2023.
  9. ACM
    N S A, Sarkar A and Kapoor H (2023). A Predictable QoS-aware Memory Request Scheduler for Soft Real-time Systems, ACM Transactions on Embedded Computing Systems, 22:2, (1-25), Online publication date: 31-Mar-2023.
  10. Feliu J, Ros A, Acacio M and Kaxiras S (2023). Speculative inter-thread store-to-load forwarding in SMT architectures, Journal of Parallel and Distributed Computing, 173:C, (94-106), Online publication date: 1-Mar-2023.
  11. ACM
    Mastoras A and Yzelman A Studying the expressiveness and performance of parallelization abstractions for linear pipelines Proceedings of the 14th International Workshop on Programming Models and Applications for Multicores and Manycores, (29-38)
  12. Kaur J and Das S (2023). TPPD, Journal of Systems Architecture: the EUROMICRO Journal, 135:C, Online publication date: 1-Feb-2023.
  13. ACM
    Gouicem R, Sprokholt D, Ruehl J, Rocha R, Spink T, Chakraborty S and Bhatotia P Risotto: A Dynamic Binary Translator for Weak Memory Model Architectures Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 1, (107-122)
  14. ACM
    Taheri E, Pasricha S and Nikdast M ReSiPI Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, (1-9)
  15. ACM
    Kandemir M, Tang X, Kotra J and Karakoy M Fine-Granular Computation and Data Layout Reorganization for Improving Locality Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, (1-9)
  16. ACM
    Mettler M, Rapp M, Khdr H, Mueller-Gritschneder D, Henkel J and Schlichtmann U (2022). An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-core Processors, ACM Transactions on Architecture and Code Optimization, 19:3, (1-24), Online publication date: 30-Sep-2022.
  17. ACM
    France-Pillois M, Gamatié A and Sassatelli G (2022). A Segmented Adaptive Router for Near Energy-Proportional Networks-on-Chip, ACM Transactions on Embedded Computing Systems, 21:4, (1-27), Online publication date: 31-Jul-2022.
  18. ACM
    Duan Z, Wang H, Liu H, Liao X, Jin H, Zhang Y and Mao F CLIMBER Proceedings of the 59th ACM/IEEE Design Automation Conference, (799-804)
  19. ACM
    Srikanthan S, Chakraborti S, Ferro P and Dwarkadas S (2022). MAPPER: Managing Application Performance via Parallel Efficiency Regulation*, ACM Transactions on Architecture and Code Optimization, 19:2, (1-26), Online publication date: 30-Jun-2022.
  20. ACM
    Wen C, He M, Wu B, Xu Z and Qin S Controlled concurrency testing via periodical scheduling Proceedings of the 44th International Conference on Software Engineering, (474-486)
  21. Chintapalli V, Adeppady M, Tamma B and A. A (2022). RESTRAIN: A dynamic and cost-efficient resource management scheme for addressing performance interference in NFV-based systems, Journal of Network and Computer Applications, 201:C, Online publication date: 1-May-2022.
  22. ACM
    McFarland J and Awad A Transpose-xen Proceedings of the 37th ACM/SIGAPP Symposium on Applied Computing, (3-12)
  23. Laso R, Lorenzo O, Cabaleiro J, Pena T, Lorenzo J and Rivera F (2022). CIMAR, NIMAR, and LMMA, Future Generation Computer Systems, 129:C, (18-32), Online publication date: 1-Apr-2022.
  24. Taheri E, Pasricha S and Nikdast M DeFT Proceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe, (1047-1052)
  25. ACM
    Suchy B, Ghosh S, Kersnar D, Chai S, Huang Z, Nelson A, Cuevas M, Bernat A, Chaudhary G, Hardavellas N, Campanoni S and Dinda P CARAT CAKE: replacing paging via compiler/kernel cooperation Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, (98-114)
  26. Agarwalla B, Das S and Sahu N (2022). Process variation aware DRAM-Cache resizing, Journal of Systems Architecture: the EUROMICRO Journal, 123:C, Online publication date: 1-Feb-2022.
  27. ACM
    Nie L, Fan C, Lin S, Zhang L, Li Y and Li J (2022). Holistic Resource Allocation Under Federated Scheduling for Parallel Real-time Tasks, ACM Transactions on Embedded Computing Systems, 21:1, (1-29), Online publication date: 31-Jan-2022.
  28. Krautter J, Mayahinia M, Gnad D and Tahoori M Data Leakage through Self-Terminated Write Schemes in Memristive Caches Proceedings of the 27th Asia and South Pacific Design Automation Conference, (666-671)
  29. Wen F, Qin M, Gratz P and Reddy N OpenMem: Hardware/Software Cooperative Management for Mobile Memory System 2021 58th ACM/IEEE Design Automation Conference (DAC), (109-114)
  30. ACM
    Lyerly R, Bilbao C, Min C, Rossbach C and Ravindran B (2022). An OpenMP Runtime for Transparent Work Sharing across Cache-Incoherent Heterogeneous Nodes, ACM Transactions on Computer Systems, 39:1-4, (1-30), Online publication date: 30-Nov-2021.
  31. ACM
    Liu L, Wang H, Wang A, Xiao M, Cheng Y and Chen S Mind the Gap Proceedings of the ACM Symposium on Cloud Computing, (243-257)
  32. Zhao W, Feng D, Hua Y, Tong W, Liu J, Xu J, Li C, Xu G and Chen Y MORE2: Morphable Encryption and Encoding for Secure NVM 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), (1-8)
  33. Srivatsa A, Fasfous N, Anh Vu Doan N, Nagel S, Wild T and Herkersdorf A (2021). Exploring a Hybrid Voting-based Eviction Policy for Caches and Sparse Directories on Manycore Architectures, Microprocessors & Microsystems, 87:C, Online publication date: 1-Nov-2021.
  34. ACM
    Feliu J, Ros A, Acacio M and Kaxiras S ITSLF: Inter-Thread Store-to-Load Forwardingin Simultaneous Multithreading MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, (1296-1308)
  35. ACM
    Ainsworth S GhostMinion: A Strictness-Ordered Cache System for Spectre Mitigation MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, (592-606)
  36. ACM
    Ram V, Panwar A and Basu A Trident: Harnessing Architectural Resources for All Page Sizes in x86 Processors MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, (1106-1120)
  37. ACM
    Yi Z, Yao Y and Chen K FTSD Proceedings of the 12th ACM SIGOPS Asia-Pacific Workshop on Systems, (123-130)
  38. Han H, Alexoudi T, Vagionas C, Pleros N and Hardavellas N Pho$ Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design, (1-6)
  39. ACM
    Gureya D, Vlassov V and Barreto J BALM: QoS-Aware Memory Bandwidth Partitioning for Multi-Socket Cloud Nodes Proceedings of the 33rd ACM Symposium on Parallelism in Algorithms and Architectures, (435-438)
  40. ACM
    Basu N, Montanari C and Eriksson J Frequent background polling on a shared thread, using light-weight compiler interrupts Proceedings of the 42nd ACM SIGPLAN International Conference on Programming Language Design and Implementation, (1249-1263)
  41. Ro Y, Jin S, Huh J and Kim J Ghost routing to enable oblivious computation on memory-centric networks Proceedings of the 48th Annual International Symposium on Computer Architecture, (930-943)
  42. Patil A, Nagarajan V, Balasubramonian R and Oswald N Dvé Proceedings of the 48th Annual International Symposium on Computer Architecture, (526-539)
  43. ACM
    Qiu Y, Liu H, Anderson T, Lin Y and Chen A Toward reconfigurable kernel datapaths with learned optimizations Proceedings of the Workshop on Hot Topics in Operating Systems, (175-182)
  44. ACM
    Deb D, Jose J and Palesi M (2020). COPE, ACM Transactions on Design Automation of Electronic Systems, 26:3, (1-31), Online publication date: 31-May-2021.
  45. ACM
    Ismail L and Materwala H (2020). Computing Server Power Modeling in a Data Center, ACM Computing Surveys, 53:3, (1-34), Online publication date: 31-May-2021.
  46. ACM
    Lavin P, Young J, Vuduc R and Beard J Online model swapping for architectural simulation Proceedings of the 18th ACM International Conference on Computing Frontiers, (102-112)
  47. ACM
    Chatterjee A, Musavvir S, Kim R, Doppa J and Pande P (2021). Power Management of Monolithic 3D Manycore Chips with Inter-tier Process Variations, ACM Journal on Emerging Technologies in Computing Systems, 17:2, (1-19), Online publication date: 30-Apr-2021.
  48. ACM
    Ramírez C, Hernández C, Palomar O, Unsal O, Ramírez M and Cristal A (2020). A RISC-V Simulator and Benchmark Suite for Designing and Evaluating Vector Architectures, ACM Transactions on Architecture and Code Optimization, 17:4, (1-30), Online publication date: 31-Dec-2021.
  49. ACM
    Lyerly R, Min C, Rossbach C and Ravindran B An OpenMP Runtime for Transparent Work Sharing Across Cache-Incoherent Heterogeneous Nodes Proceedings of the 21st International Middleware Conference, (415-429)
  50. ACM
    Faltelli M, Belocchi G, Quaglia F, Pontarelli S and Bianchi G Metronome Proceedings of the 16th International Conference on emerging Networking EXperiments and Technologies, (406-420)
  51. Ghosh S, Cuevas M, Campanoni S and Dinda P Compiler-based timing for extremely fine-grain preemptive parallelism Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, (1-15)
  52. ACM
    Liu L, Isaacman S and Kremer U Global cost/quality management across multiple applications Proceedings of the 28th ACM Joint Meeting on European Software Engineering Conference and Symposium on the Foundations of Software Engineering, (350-361)
  53. Fried J, Ruan Z, Ousterhout A and Belay A Caladan Proceedings of the 14th USENIX Conference on Operating Systems Design and Implementation, (281-297)
  54. ACM
    Agrawal K, Baruah S, Guo Z and Li J The safe and effective application of probabilistic techniques in safety-critical systems Proceedings of the 39th International Conference on Computer-Aided Design, (1-9)
  55. ACM
    Zhang C, Abdelaal K, Chen A, Zhao X, Wen W and Guo X ECC cache Proceedings of the 39th International Conference on Computer-Aided Design, (1-9)
  56. ACM
    Liao J, Chen H and Chen Y A Cache Contention-aware Run-time Scheduling for Power-constrained Asymmetric Multicore Processors Proceedings of the International Conference on Research in Adaptive and Convergent Systems, (207-212)
  57. ACM
    Jo C, Kim H, Geng H and Egger B RackMem Proceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques, (467-480)
  58. ACM
    Ausavarungnirun R, Merrifield T, Gandhi J and Rossbach C PRISM Proceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques, (441-454)
  59. ACM
    Barai A, Chennupati G, Santhi N, Badawy A, Arafa Y and Eidenbenz S PPT-SASMM: Scalable Analytical Shared Memory Model Proceedings of the International Symposium on Memory Systems, (341-351)
  60. ACM
    M. Mathew D, S. Prado F, Zulian É, Weis C, Ghaffar M, Jung M and Wehn N An Energy Efficient 3D-Heterogeneous Main Memory Architecture for Mobile Devices Proceedings of the International Symposium on Memory Systems, (114-125)
  61. Tajary A, Zarandi H and Bagherzadeh N (2020). IRHT, Microprocessors & Microsystems, 77:C, Online publication date: 1-Sep-2020.
  62. ACM
    Gottschlag M, Schmidt T and Bellosa F AVX overhead profiling Proceedings of the 11th ACM SIGOPS Asia-Pacific Workshop on Systems, (59-66)
  63. ACM
    Mururu G, Ravichandran K, Gavrilovska A and Pande S Generating Robust Parallel Programs via Model Driven Prediction of Compiler Optimizations for Non-determinism Proceedings of the 49th International Conference on Parallel Processing, (1-12)
  64. ACM
    Helm C and Taura K Automatic Identification and Precise Attribution of DRAM Bandwidth Contention Proceedings of the 49th International Conference on Parallel Processing, (1-11)
  65. ACM
    Zhao Z, Jiang Z, Liu X, Gong X, Wang W and Yew P DQEMU: A Scalable Emulator with Retargetable DBT on Distributed Platforms Proceedings of the 49th International Conference on Parallel Processing, (1-11)
  66. Souza J, Manivannan M, Pericàs M and Beck A Enhancing thread-level parallelism in asymmetric multicores using transparent instruction offloading Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference, (1-6)
  67. Lv Z, Zhao Y, Zhang C and Li H DRAMD: Detect Advanced DRAM-based Stealthy Communication Channels with Neural Networks IEEE INFOCOM 2020 - IEEE Conference on Computer Communications, (1907-1916)
  68. ACM
    Dinda P, Bernat A and Hetland C Spying on the Floating Point Behavior of Existing, Unmodified Scientific Applications Proceedings of the 29th International Symposium on High-Performance Parallel and Distributed Computing, (5-16)
  69. ACM
    Suchy B, Campanoni S, Hardavellas N and Dinda P CARAT: a case for virtual memory through compiler- and runtime-based address translation Proceedings of the 41st ACM SIGPLAN Conference on Programming Language Design and Implementation, (329-345)
  70. ACM
    Gottschlag M, Brantsch P and Bellosa F Automatic Core Specialization for AVX-512 Applications Proceedings of the 13th ACM International Systems and Storage Conference, (25-35)
  71. Ainsworth S and Jones T MuonTrap Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture, (132-144)
  72. Todeschi G, Teabe B, Tchana A and Hagimont D (2020). Cacol, Future Generation Computer Systems, 106:C, (14-21), Online publication date: 1-May-2020.
  73. ACM
    Liu C, Chen Y and Hariyama M Thermal-aware memory system synthesis for MPSoCs with 3D-stacked hybrid memories Proceedings of the 35th Annual ACM Symposium on Applied Computing, (546-553)
  74. ACM
    Skarlatos D, Kokolis A, Xu T and Torrellas J Elastic Cuckoo Page Tables Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, (1093-1108)
  75. ACM
    Tovletoglou K, Mukhanov L, Nikolopoulos D and Karakonstantis G HaRMony Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, (575-590)
  76. Carvalho P, Cruz R, Drummond L, Bentes C, Clua E, Cataldo E and Marzulo L (2019). Kernel concurrency opportunities based on GPU benchmarks characterization, Cluster Computing, 23:1, (177-188), Online publication date: 1-Mar-2020.
  77. ACM
    Chu T, Kise K and Tanaka K Dependency-Driven Trace-Based Network-on-Chip Emulation on FPGAs Proceedings of the 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, (211-221)
  78. ACM
    Gorgovan C, Callaghan G and Luján M Balancing performance and productivity for the development of dynamic binary instrumentation tools: a case study on Arm systems Proceedings of the 29th International Conference on Compiler Construction, (132-142)
  79. ACM
    Yu T, Petoumenos P, Janjic V, Leather H and Thomson J COLAB: a collaborative multi-factor scheduler for asymmetric multicore processors Proceedings of the 18th ACM/IEEE International Symposium on Code Generation and Optimization, (268-279)
  80. Felzmann I, Susin M, Duenha L, Azevedo R and Wanner L (2022). ADeLe, Future Generation Computer Systems, 102:C, (245-258), Online publication date: 1-Jan-2020.
  81. ACM
    Mastoras A and Gross T (2019). Chunking for Dynamic Linear Pipelines, ACM Transactions on Architecture and Code Optimization, 16:4, (1-25), Online publication date: 31-Dec-2020.
  82. ACM
    Vandierendonck H and Nikolopoulos D (2019). Hyperqueues, ACM Transactions on Parallel Computing, 6:4, (1-35), Online publication date: 26-Dec-2019.
  83. ACM
    Lee D, Das S, Doppa J, Pande P and Chakrabarty K (2019). Impact of Electrostatic Coupling on Monolithic 3D-enabled Network on Chip, ACM Transactions on Design Automation of Electronic Systems, 24:6, (1-22), Online publication date: 14-Nov-2019.
  84. Zhan X, Chen J, Sánchez-Sinencio E and Li P (2019). Power Management for Multicore Processors via Heterogeneous Voltage Regulation and Machine Learning Enabled Adaptation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27:11, (2641-2654), Online publication date: 1-Nov-2019.
  85. ACM
    Deshwal A, Jayakodi N, Joardar B, Doppa J and Pande P (2019). MOOS, ACM Transactions on Embedded Computing Systems, 18:5s, (1-23), Online publication date: 31-Oct-2019.
  86. ACM
    Peters A, Thywissen J and Rossbach C PorcE: a deparallelizing compiler Proceedings of the 16th ACM SIGPLAN International Conference on Managed Programming Languages and Runtimes, (117-130)
  87. ACM
    Zhou D and Tamir Y PUSh Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, (886-898)
  88. ACM
    Gade S, Ahmed M, Deb S and Ganguly A (2019). Energy Efficient Chip-to-Chip Wireless Interconnection for Heterogeneous Architectures, ACM Transactions on Design Automation of Electronic Systems, 24:5, (1-27), Online publication date: 30-Sep-2019.
  89. ACM
    He S, Manns G, Saunders J, Wang W, Pollock L and Soffa M A statistics-based performance testing methodology for cloud applications Proceedings of the 2019 27th ACM Joint Meeting on European Software Engineering Conference and Symposium on the Foundations of Software Engineering, (188-199)
  90. ACM
    Nikas K, Papadopoulou N, Giantsidi D, Karakostas V, Goumas G and Koziris N DICER Proceedings of the 48th International Conference on Parallel Processing, (1-10)
  91. ACM
    Hiebel J, Brown L and Wang Z Machine Learning for Fine-Grained Hardware Prefetcher Control Proceedings of the 48th International Conference on Parallel Processing, (1-9)
  92. ACM
    Mastoras A and Gross T (2019). Efficient and Scalable Execution of Fine-Grained Dynamic Linear Pipelines, ACM Transactions on Architecture and Code Optimization, 16:2, (1-26), Online publication date: 30-Jun-2019.
  93. ACM
    Fang B, Halawa H, Pattabiraman K, Ripeanu M and Krishnamoorthy S BonVoision Proceedings of the ACM International Conference on Supercomputing, (484-496)
  94. ACM
    Elnawawy H, Chowdhury R, Awad A and Byrd G Diligent TLBs Proceedings of the ACM International Conference on Supercomputing, (195-205)
  95. ACM
    Alves R, Ros A, Black-Schaffer D and Kaxiras S Filter caching for free Proceedings of the 46th International Symposium on Computer Architecture, (436-448)
  96. ACM
    Hetland C, Tziantzioulis G, Suchy B, Leonard M, Han J, Albers J, Hardavellas N and Dinda P Paths to Fast Barrier Synchronization on the Node Proceedings of the 28th International Symposium on High-Performance Parallel and Distributed Computing, (109-120)
  97. ACM
    Doudali T, Blagodurov S, Vishnu A, Gurumurthi S and Gavrilovska A Kleio Proceedings of the 28th International Symposium on High-Performance Parallel and Distributed Computing, (37-48)
  98. ACM
    You J and Yang J MRLoc Proceedings of the 56th Annual Design Automation Conference 2019, (1-6)
  99. Su P, Wen S, Yang H, Chabbi M and Liu X Redundant loads Proceedings of the 41st International Conference on Software Engineering, (982-993)
  100. ACM
    Akshintala A, Jain B, Tsai C, Ferdman M and Porter D x86-64 instruction usage among C/C++ applications Proceedings of the 12th ACM International Conference on Systems and Storage, (68-79)
  101. Chronaki K, Moretó M, Casas M, Rico A, Badia R, Ayguadé E and Valero M (2019). On the maturity of parallel applications for asymmetric multi-core processors, Journal of Parallel and Distributed Computing, 127:C, (105-115), Online publication date: 1-May-2019.
  102. Witt C, Bux M, Gusew W and Leser U (2019). Predictive performance modeling for distributed batch processing using black box monitoring and machine learning, Information Systems, 82:C, (33-52), Online publication date: 1-May-2019.
  103. ACM
    Mahmoud A, Venkatagiri R, Ahmed K, Misailovic S, Marinov D, Fletcher C and Adve S Minotaur Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, (1087-1103)
  104. ACM
    Guerraoui R, Guiroux H, Lachaize R, Quéma V and Trigonakis V (2019). Lock–Unlock, ACM Transactions on Computer Systems, 36:1, (1-149), Online publication date: 28-Mar-2019.
  105. Wang L, Zhuo C and Zhou P (2019). Run-time demand estimation and modulation of on-chip decaps at system level for leakage power reduction in multicore chips, Integration, the VLSI Journal, 65:C, (322-330), Online publication date: 1-Mar-2019.
  106. Lee D, Das S and Pande P (2019). Analyzing power-thermal-performance trade-offs in a high-performance 3D NoC architecture, Integration, the VLSI Journal, 65:C, (282-292), Online publication date: 1-Mar-2019.
  107. Ousterhout A, Fried J, Behrens J, Belay A and Balakrishnan H Shenango Proceedings of the 16th USENIX Conference on Networked Systems Design and Implementation, (361-377)
  108. ACM
    Srikanthan S, Ferro P, Chakraborti S and Dwarkadas S Managing application parallelism via parallel efficiency regulation Proceedings of the 24th Symposium on Principles and Practice of Parallel Programming, (437-438)
  109. ACM
    Wang Q, Su P, Chabbi M and Liu X Lightweight hardware transactional memory profiling Proceedings of the 24th Symposium on Principles and Practice of Parallel Programming, (186-200)
  110. Grass T, Carlson T, Rico A, Ceballos G, Ayguade E, Casas M and Moreto M (2019). Sampled Simulation of Task-Based Programs, IEEE Transactions on Computers, 68:2, (255-269), Online publication date: 1-Feb-2019.
  111. Elisseev V, Puzovic M and Lee E (2018). A Study on Cross-Architectural Modelling of Power Consumption Using Neural Networks, Supercomputing Frontiers and Innovations: an International Journal, 5:4, (24-41), Online publication date: 15-Dec-2018.
  112. ACM
    Lottarini A, Ramirez A, Coburn J, Kim M, Ranganathan P, Stodolsky D and Wachsler M (2018). vbench, ACM SIGPLAN Notices, 53:2, (797-809), Online publication date: 30-Nov-2018.
  113. ACM
    Panwar A, Prasad A and Gopinath K (2018). Making Huge Pages Actually Useful, ACM SIGPLAN Notices, 53:2, (679-692), Online publication date: 30-Nov-2018.
  114. ACM
    Rahmani A, Donyanavard B, Mück T, Moazzemi K, Jantsch A, Mutlu O and Dutt N (2018). SPECTR, ACM SIGPLAN Notices, 53:2, (169-183), Online publication date: 30-Nov-2018.
  115. ACM
    Haria S, Hill M and Swift M (2018). Devirtualizing Memory in Heterogeneous Systems, ACM SIGPLAN Notices, 53:2, (637-650), Online publication date: 30-Nov-2018.
  116. ACM
    DeLozier C, Eizenberg A, Lucia B and Devietti J (2018). SOFRITAS, ACM SIGPLAN Notices, 53:2, (286-300), Online publication date: 30-Nov-2018.
  117. ACM
    Deiana E, St-Amour V, Dinda P, Hardavellas N and Campanoni S (2018). Unconventional Parallelization of Nondeterministic Applications, ACM SIGPLAN Notices, 53:2, (432-447), Online publication date: 30-Nov-2018.
  118. ACM
    Rajasekaran S, Chawla H, Ni Z, Shah N, Berger E and Wood T CRIMES Proceedings of the 19th International Middleware Conference, (40-52)
  119. Sabbagh M, Fei Y, Wahl T and Ding A SCADET: A Side-Channel Attack Detection Tool for Tracking Prime-Probe 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), (1-8)
  120. Kiriansky V, Lebedev I, Amarasinghe S, Devadas S and Emer J DAWG Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, (974-987)
  121. Tsai P, Chen C and Sanchez D Adaptive scheduling for systems with asymmetric memory hierarchies Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, (641-654)
  122. Zuo P, Hua Y, Zhao M, Zhou W and Guo Y Improving the performance and endurance of encrypted non-volatile main memory through deduplicating writes Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, (442-454)
  123. Adileh A, Lilja D and Eeckhout L Architectural support for probabilistic branches Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, (108-120)
  124. Zhang S, Wright A, Bourgeat T and Arvind Composable building blocks to open up processor design Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, (68-81)
  125. ACM
    Lee D, Das S, Doppa J, Pande P and Chakrabarty K (2018). Performance and Thermal Tradeoffs for Energy-Efficient Monolithic 3D Network-on-Chip, ACM Transactions on Design Automation of Electronic Systems, 23:5, (1-25), Online publication date: 18-Oct-2018.
  126. Das S, Basu K, Doppa J, Pande P, Karri R and Chakrabarty K Abetting planned obsolescence by aging 3D networks-on-chip Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, (1-8)
  127. Ejaz A, Papaefstathiou V and Sourdis I FreewayNoC Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, (1-8)
  128. Fonseca A and Cabral B (2018). Overcoming the No Free Lunch Theorem in Cut-off Algorithms for Fork-Join programs, Parallel Computing, 76:C, (42-56), Online publication date: 1-Aug-2018.
  129. Tziantzioulis G, Hardavellas N and Campanoni S (2018). Temporal Approximate Function Memoization, IEEE Micro, 38:4, (60-70), Online publication date: 1-Jul-2018.
  130. ACM
    Ejaz A, Papaefstathiou V and Sourdis I (2018). DDRNoC, ACM Transactions on Architecture and Code Optimization, 15:2, (1-24), Online publication date: 22-Jun-2018.
  131. Chatterjee N, Mukherjee P and Chattopadhyay S (2018). Reliability-aware application mapping onto mesh based Network-on-Chip, Integration, the VLSI Journal, 62:C, (92-113), Online publication date: 1-Jun-2018.
  132. ACM
    Qu H, Mashayekhi O, Shah C and Levis P Decoupling the control plane from program control flow for flexibility and performance in cloud computing Proceedings of the Thirteenth EuroSys Conference, (1-13)
  133. ACM
    Sangaiah K, Lui M, Jagtap R, Diestelhorst S, Nilakantan S, More A, Taskin B and Hempstead M (2018). SynchroTrace, ACM Transactions on Architecture and Code Optimization, 15:1, (1-26), Online publication date: 2-Apr-2018.
  134. ACM
    Chabbi M, Wen S and Liu X (2018). Featherlight on-the-fly false-sharing detection, ACM SIGPLAN Notices, 53:1, (152-167), Online publication date: 23-Mar-2018.
  135. ACM
    Lottarini A, Ramirez A, Coburn J, Kim M, Ranganathan P, Stodolsky D and Wachsler M vbench Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, (797-809)
  136. ACM
    Panwar A, Prasad A and Gopinath K Making Huge Pages Actually Useful Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, (679-692)
  137. ACM
    Rahmani A, Donyanavard B, Mück T, Moazzemi K, Jantsch A, Mutlu O and Dutt N SPECTR Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, (169-183)
  138. ACM
    Haria S, Hill M and Swift M Devirtualizing Memory in Heterogeneous Systems Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, (637-650)
  139. ACM
    DeLozier C, Eizenberg A, Lucia B and Devietti J SOFRITAS Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, (286-300)
  140. ACM
    Deiana E, St-Amour V, Dinda P, Hardavellas N and Campanoni S Unconventional Parallelization of Nondeterministic Applications Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, (432-447)
  141. Prasad N, Mukherjee P, Chattopadhyay S and Chakrabarti I (2018). Design and evaluation of ZMesh topology for on-chip interconnection networks, Journal of Parallel and Distributed Computing, 113:C, (17-36), Online publication date: 1-Mar-2018.
  142. ACM
    Mastoras A and Gross T Understanding Parallelization Tradeoffs for Linear Pipelines Proceedings of the 9th International Workshop on Programming Models and Applications for Multicores and Manycores, (1-10)
  143. ACM
    Chabbi M, Wen S and Liu X Featherlight on-the-fly false-sharing detection Proceedings of the 23rd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, (152-167)
  144. Lee W, Panda R, Sunwoo D, Joao J, Gerstlauer A and John L BUQS Proceedings of the 23rd Asia and South Pacific Design Automation Conference, (64-69)
  145. Lee W, Panda R, Sunwoo D, Joao J, Gerstlauer A and John L BUQS: Battery- and user-aware QoS scaling for interactive mobile devices 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), (64-69)
  146. ACM
    Marco V, Taylor B, Porter B and Wang Z Improving spark application throughput via memory aware task co-location Proceedings of the 18th ACM/IFIP/USENIX Middleware Conference, (95-108)
  147. Zeiser M, Betz J and Westhoff D Cache Covert-Channel Mitigation in Cloud Virtualization with XEN's Credit Scheduler GLOBECOM 2017 - 2017 IEEE Global Communications Conference, (1-7)
  148. ACM
    Luo H, Li P and Ding C (2017). Thread Data Sharing in Cache, ACM SIGPLAN Notices, 52:8, (103-115), Online publication date: 26-Oct-2017.
  149. ACM
    Gopal S, Das S, Heo D and Pande P Energy and Area Efficient Near Field Inductive Coupling Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, (1-8)
  150. ACM
    Boyapati R, Huang J, Majumder P, Yum K and Kim E (2017). APPROX-NoC, ACM SIGARCH Computer Architecture News, 45:2, (666-677), Online publication date: 14-Sep-2017.
  151. ACM
    D'Antras A, Gorgovan C, Garside J and Luján M (2017). Low overhead dynamic binary translation on ARM, ACM SIGPLAN Notices, 52:6, (333-346), Online publication date: 14-Sep-2017.
  152. ACM
    Hillenbrand M, Gottschlag M, Kehne J and Bellosa F Multiple Physical Mappings Proceedings of the 8th Asia-Pacific Workshop on Systems, (1-9)
  153. Moreno A, Sikora A, César E, Sorribes J and Margalef T (2017). HeDPM, The Journal of Supercomputing, 73:9, (3738-3760), Online publication date: 1-Sep-2017.
  154. ACM
    Guo Y, Cai Y and Yang Z AtexRace: across thread and execution sampling for in-house race detection Proceedings of the 2017 11th Joint Meeting on Foundations of Software Engineering, (315-325)
  155. ACM
    Sridharan A, Panda B and Seznec A (2017). Band-Pass Prefetching, ACM Transactions on Architecture and Code Optimization, 14:2, (1-27), Online publication date: 30-Jun-2017.
  156. ACM
    Boyapati R, Huang J, Majumder P, Yum K and Kim E APPROX-NoC Proceedings of the 44th Annual International Symposium on Computer Architecture, (666-677)
  157. ACM
    Son M, Park H, Ahn J and Yoo S Making DRAM Stronger Against Row Hammering Proceedings of the 54th Annual Design Automation Conference 2017, (1-6)
  158. ACM
    Titos-Gil R, Flores A, Fernández-Pascual R, Ros A and Acacio M Way-combining directory Proceedings of the International Conference on Supercomputing, (1-10)
  159. ACM
    D'Antras A, Gorgovan C, Garside J and Luján M Low overhead dynamic binary translation on ARM Proceedings of the 38th ACM SIGPLAN Conference on Programming Language Design and Implementation, (333-346)
  160. ACM
    Mashtizadeh A, Garfinkel T, Terei D, Mazieres D and Rosenblum M (2017). Towards Practical Default-On Multi-Core Record/Replay, ACM SIGPLAN Notices, 52:4, (693-708), Online publication date: 12-May-2017.
  161. ACM
    Mashtizadeh A, Garfinkel T, Terei D, Mazieres D and Rosenblum M (2017). Towards Practical Default-On Multi-Core Record/Replay, ACM SIGARCH Computer Architecture News, 45:1, (693-708), Online publication date: 11-May-2017.
  162. ACM
    Mashtizadeh A, Garfinkel T, Terei D, Mazieres D and Rosenblum M Towards Practical Default-On Multi-Core Record/Replay Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, (693-708)
  163. Wu J, Dong D, Liao X and Wang L (2017). Energy-efficient NoC with multi-granularity power optimization, The Journal of Supercomputing, 73:4, (1654-1671), Online publication date: 1-Apr-2017.
  164. Das S, Doppa J, Pande P and Chakrabarty K Robust TSV-based 3D NoC design to counteract electromigration and crosstalk noise Proceedings of the Conference on Design, Automation & Test in Europe, (1366-1371)
  165. Duraisamy K and Pande P Performance evaluation and design trade-offs for wireless-enabled SMART NoC Proceedings of the Conference on Design, Automation & Test in Europe, (1360-1365)
  166. Doyle N, Matthews E, Holland G, Fedorova A and Shannon L Performance impacts and limitations of hardware memory access trace collection Proceedings of the Conference on Design, Automation & Test in Europe, (506-511)
  167. Kennedy M and Kodi A (2017). CLAP-NET, Journal of Parallel and Distributed Computing, 100:C, (130-139), Online publication date: 1-Feb-2017.
  168. ACM
    Luo H, Li P and Ding C Thread Data Sharing in Cache Proceedings of the 22nd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, (103-115)
  169. ACM
    Akturk I, Akram R, Islam M, Muzahid A and Karpuzcu U (2016). Accuracy Bugs, ACM Transactions on Architecture and Code Optimization, 13:4, (1-24), Online publication date: 28-Dec-2016.
  170. ACM
    Li Z, Wang F, Feng D, Hua Y, Liu J and Tong W (2016). MaxPB, ACM Transactions on Architecture and Code Optimization, 13:4, (1-26), Online publication date: 28-Dec-2016.
  171. ACM
    Das S and Kapoor H (2016). A Framework for Block Placement, Migration, and Fast Searching in Tiled-DNUCA Architecture, ACM Transactions on Design Automation of Electronic Systems, 22:1, (1-26), Online publication date: 28-Dec-2016.
  172. Puzović M, Manne S, GalOn S and Ono M Quantifying energy use in dense shared memory HPC node Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, (16-23)
  173. ACM
    Estebanez A, Llanos D and Gonzalez-Escribano A (2016). A Survey on Thread-Level Speculation Techniques, ACM Computing Surveys, 49:2, (1-39), Online publication date: 11-Nov-2016.
  174. Das S, Doppa J, Pande P and Chakrabarty K Energy-efficient and reliable 3D Network-on-Chip (NoC): Architectures and optimization algorithms 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), (1-6)
  175. ACM
    Tajik H, Donyanavard B, Dutt N, Jahn J and Henkel J (2016). SPMPool, ACM Transactions on Embedded Computing Systems, 16:1, (1-27), Online publication date: 3-Nov-2016.
  176. ACM
    Jiang Y, Xu C, Li D, Ma X and Lu J Online shared memory dependence reduction via bisectional coordination Proceedings of the 2016 24th ACM SIGSOFT International Symposium on Foundations of Software Engineering, (822-832)
  177. Shafique M, Ivanov A, Vogel B and Henkel J (2016). Scalable Power Management for On-Chip Systems with Malleable Applications, IEEE Transactions on Computers, 65:11, (3398-3412), Online publication date: 1-Nov-2016.
  178. Lehman T, Hilton A and Lee B Poisonivy The 49th Annual IEEE/ACM International Symposium on Microarchitecture, (1-13)
  179. Huang C, Kumar R, Elver M, Grot B and Nagarajan V C3D The 49th Annual IEEE/ACM International Symposium on Microarchitecture, (1-12)
  180. ACM
    Yang M and Ampadu P Thermal-Aware Adaptive Fault-Tolerant Routing for Hybrid Photonic-Electronic NoC Proceedings of the 9th International Workshop on Network on Chip Architectures, (33-38)
  181. ACM
    Gandhi J, Hill M and Swift M (2016). Agile paging, ACM SIGARCH Computer Architecture News, 44:3, (707-718), Online publication date: 12-Oct-2016.
  182. ACM
    Zhou Y, Hoffmann H and Wentzlaff D (2016). CASH, ACM SIGARCH Computer Architecture News, 44:3, (682-694), Online publication date: 12-Oct-2016.
  183. ACM
    Nair P, Sridharan V and Qureshi M (2016). XED, ACM SIGARCH Computer Architecture News, 44:3, (341-353), Online publication date: 12-Oct-2016.
  184. ACM
    Koeplinger D, Delimitrou C, Prabhakar R, Kozyrakis C, Zhang Y and Olukotun K (2016). Automatic generation of efficient accelerators for reconfigurable hardware, ACM SIGARCH Computer Architecture News, 44:3, (115-127), Online publication date: 12-Oct-2016.
  185. ACM
    Chronaki K, Moretó M, Casas M, Rico A, Badia R, Ayguadé E, Labarta J and Valero M POSTER Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, (415-417)
  186. ACM
    Yu X, Liu H, Zou E and Devadas S Tardis 2.0 Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, (261-274)
  187. Song W, Choi H, Kim J, Kim E, Kim Y and Kim J PIkit Proceedings of the 25th USENIX Conference on Security Symposium, (37-51)
  188. ACM
    Panwar A, Patel N and Gopinath K A Case for Protecting Huge Pages from the Kernel Proceedings of the 7th ACM SIGOPS Asia-Pacific Workshop on Systems, (1-8)
  189. ACM
    Sui X, Lenharth A, Fussell D and Pingali K (2016). Proactive Control of Approximate Programs, ACM SIGARCH Computer Architecture News, 44:2, (607-621), Online publication date: 29-Jul-2016.
  190. ACM
    Zhu H and Erez M (2016). Dirigent, ACM SIGARCH Computer Architecture News, 44:2, (33-47), Online publication date: 29-Jul-2016.
  191. Gandhi J, Hill M and Swift M Agile paging Proceedings of the 43rd International Symposium on Computer Architecture, (707-718)
  192. Zhou Y, Hoffmann H and Wentzlaff D CASH Proceedings of the 43rd International Symposium on Computer Architecture, (682-694)
  193. Nair P, Sridharan V and Qureshi M XED Proceedings of the 43rd International Symposium on Computer Architecture, (341-353)
  194. Koeplinger D, Delimitrou C, Prabhakar R, Kozyrakis C, Zhang Y and Olukotun K Automatic generation of efficient accelerators for reconfigurable hardware Proceedings of the 43rd International Symposium on Computer Architecture, (115-127)
  195. ACM
    Sui X, Lenharth A, Fussell D and Pingali K (2016). Proactive Control of Approximate Programs, ACM SIGPLAN Notices, 51:4, (607-621), Online publication date: 9-Jun-2016.
  196. ACM
    Zhu H and Erez M (2016). Dirigent, ACM SIGPLAN Notices, 51:4, (33-47), Online publication date: 9-Jun-2016.
  197. ACM
    Schmid P, Besta M and Hoefler T High-Performance Distributed RMA Locks Proceedings of the 25th ACM International Symposium on High-Performance Parallel and Distributed Computing, (19-30)
  198. ACM
    Roy P, Liu X and Song S SMT-Aware Instantaneous Footprint Optimization Proceedings of the 25th ACM International Symposium on High-Performance Parallel and Distributed Computing, (267-279)
  199. ACM
    Kannan S, Gavrilovska A and Schwan K pVM Proceedings of the Eleventh European Conference on Computer Systems, (1-16)
  200. ACM
    Chen Y, Yang C, Lin P and Lu Y (2016). Opportunities of synergistically adjusting voltage-frequency levels of cores and DRAMs in CMPs with 3d-stacked DRAMs for efficient thermal control, ACM SIGAPP Applied Computing Review, 16:1, (26-35), Online publication date: 14-Apr-2016.
  201. ACM
    Gorgovan C, d'Antras A and Luján M (2016). MAMBO, ACM Transactions on Architecture and Code Optimization, 13:1, (1-26), Online publication date: 5-Apr-2016.
  202. ACM
    Das S and Kapoor H Dynamic associativity enabled DNUCA to improve block localisation in tiled CMPs Proceedings of the 31st Annual ACM Symposium on Applied Computing, (1745-1750)
  203. ACM
    Sui X, Lenharth A, Fussell D and Pingali K Proactive Control of Approximate Programs Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, (607-621)
  204. ACM
    Zhu H and Erez M Dirigent Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, (33-47)
  205. ACM
    Thomson P, Donaldson A and Betts A (2016). Concurrency Testing Using Controlled Schedulers, ACM Transactions on Parallel Computing, 2:4, (1-37), Online publication date: 15-Mar-2016.
  206. Das S, Doppa J, Pande P and Chakrabarty K Reliability and performance trade-offs for 3D NoC-enabled multicore chips Proceedings of the 2016 Conference on Design, Automation & Test in Europe, (1429-1432)
  207. Mondal H, Gade S, Kishore R and Deb S Adaptive multi-voltage scaling in wireless NoC for high performance low power applications Proceedings of the 2016 Conference on Design, Automation & Test in Europe, (1315-1320)
  208. Salkhordeh R and Asadi H An operating system level data migration scheme in hybrid DRAM-NVM memory architecture Proceedings of the 2016 Conference on Design, Automation & Test in Europe, (936-941)
  209. Li Z, Wang F, Hua Y, Tong W, Liu J, Chen Y and Feng D Exploiting more parallelism from write operations on PCM Proceedings of the 2016 Conference on Design, Automation & Test in Europe, (768-773)
  210. ACM
    Vassiliadis V, Riehme J, Deussen J, Parasyris K, Antonopoulos C, Bellas N, Lalis S and Naumann U Towards automatic significance analysis for approximate computing Proceedings of the 2016 International Symposium on Code Generation and Optimization, (182-193)
  211. ACM
    Kambadur M and Kim M NRG-loops: adjusting power from within applications Proceedings of the 2016 International Symposium on Code Generation and Optimization, (206-215)
  212. ACM
    Liu T and Liu X Cheetah: detecting false sharing efficiently and effectively Proceedings of the 2016 International Symposium on Code Generation and Optimization, (1-11)
  213. ACM
    Fornaciari W, Pozzi G, Reghenzani F, Marchese A and Belluschi M Runtime resource management for embedded and HPC systems Proceedings of the 7th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and the 5th Workshop on Design Tools and Architectures For Multicore Embedded Computing Platforms, (31-36)
  214. ACM
    Chasapis D, Casas M, Moretó M, Vidal R, Ayguadé E, Labarta J and Valero M (2015). PARSECSs, ACM Transactions on Architecture and Code Optimization, 12:4, (1-22), Online publication date: 7-Jan-2016.
  215. ACM
    Liang C and Prvulovic M (2015). MiSAR, ACM SIGARCH Computer Architecture News, 43:3S, (414-426), Online publication date: 4-Jan-2016.
  216. ACM
    Segulja C and Abdelrahman T (2015). Clean, ACM SIGARCH Computer Architecture News, 43:3S, (401-413), Online publication date: 4-Jan-2016.
  217. ACM
    Lustig D, Trippel C, Pellauer M and Martonosi M (2015). ArMOR, ACM SIGARCH Computer Architecture News, 43:3S, (388-400), Online publication date: 4-Jan-2016.
  218. ACM
    Karakostas V, Gandhi J, Ayar F, Cristal A, Hill M, McKinley K, Nemirovsky M, Swift M and Ünsal O (2015). Redundant memory mappings for fast access to large memories, ACM SIGARCH Computer Architecture News, 43:3S, (66-78), Online publication date: 4-Jan-2016.
  219. Li M, Chen G, Wang Q, Lin Y, Hofstee P, Stenstrom P and Zhou D (2016). PATer, IEEE Computer Architecture Letters, 15:1, (37-40), Online publication date: 1-Jan-2016.
  220. ACM
    Achour S and Rinard M (2015). Approximate computation with outlier detection in Topaz, ACM SIGPLAN Notices, 50:10, (711-730), Online publication date: 18-Dec-2015.
  221. Maqbool J, Oh S and Fox G (2015). Evaluating ARM HPC clusters for scientific workloads, Concurrency and Computation: Practice & Experience, 27:17, (5390-5410), Online publication date: 10-Dec-2015.
  222. ACM
    Fu Y, Nguyen T and Wentzlaff D Coherence domain restriction on large scale systems Proceedings of the 48th International Symposium on Microarchitecture, (686-698)
  223. ACM
    Atta I, Tong X, Srinivasan V, Baldini I and Moshovos A Self-contained, accurate precomputation prefetching Proceedings of the 48th International Symposium on Microarchitecture, (153-165)
  224. ACM
    Kannan A, Jerger N and Loh G Enabling interposer-based disintegration of multi-core processors Proceedings of the 48th International Symposium on Microarchitecture, (546-558)
  225. ACM
    Miguel J, Albericio J, Moshovos A and Jerger N Doppelgänger Proceedings of the 48th International Symposium on Microarchitecture, (50-61)
  226. ACM
    Kim J, Sullivan M, Gong S and Erez M Frugal ECC Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, (1-12)
  227. Jiang Y, Li D, Xu C, Ma X and Lu J Optimistic shared memory dependence tracing Proceedings of the 30th IEEE/ACM International Conference on Automated Software Engineering, (524-534)
  228. Das S, Doppa J, Kim D, Pande P and Chakrabarty K Optimizing 3D NoC Design for Energy Efficiency Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, (705-712)
  229. Lai B, Kuan-Ting Chen and Ping-Ru Wu (2015). A High-Performance Double-Layer Counting Bloom Filter for Multicore Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23:11, (2473-2486), Online publication date: 1-Nov-2015.
  230. ACM
    Achour S and Rinard M Approximate computation with outlier detection in Topaz Proceedings of the 2015 ACM SIGPLAN International Conference on Object-Oriented Programming, Systems, Languages, and Applications, (711-730)
  231. ACM
    Chen Y, Yang C, Lin P and Lu Y Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs Proceedings of the 2015 Conference on research in adaptive and convergent systems, (430-436)
  232. ACM
    Uppal A and Meswani M Towards Workload-Aware Page Cache Replacement Policies for Hybrid Memories Proceedings of the 2015 International Symposium on Memory Systems, (206-219)
  233. Nassar A, Kurdahi F and Elsharkasy W NUVA Proceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, (137-146)
  234. ACM
    Chen X and Jha N (2015). gem5-PVT, ACM Journal on Emerging Technologies in Computing Systems, 12:3, (1-19), Online publication date: 21-Sep-2015.
  235. ACM
    Wang H, Isci C, Subramanian L, Choi J, Qian D and Mutlu O (2015). A-DRM, ACM SIGPLAN Notices, 50:7, (93-106), Online publication date: 25-Aug-2015.
  236. ACM
    Emani M and O'Boyle M (2015). Celebrating diversity: a mixture of experts approach for runtime mapping in dynamic environments, ACM SIGPLAN Notices, 50:6, (499-508), Online publication date: 7-Aug-2015.
  237. ACM
    Ren S, Li C, Tan L and Xiao Z Samsara Proceedings of the 6th Asia-Pacific Workshop on Systems, (1-7)
  238. ACM
    Railing B, Hein E and Conte T (2015). Contech, ACM Transactions on Architecture and Code Optimization, 12:2, (1-24), Online publication date: 8-Jul-2015.
  239. ACM
    Das M, Southern G and Renau J (2015). Section-Based Program Analysis to Reduce Overhead of Detecting Unsynchronized Thread Communication, ACM Transactions on Architecture and Code Optimization, 12:2, (23:1-23:26), Online publication date: 8-Jul-2015.
  240. ACM
    Xu T, Li P and Sundareswaran S (2015). Decoupling Capacitance Design Strategies for Power Delivery Networks with Power Gating, ACM Transactions on Design Automation of Electronic Systems, 20:3, (1-30), Online publication date: 24-Jun-2015.
  241. ACM
    Liang C and Prvulovic M MiSAR Proceedings of the 42nd Annual International Symposium on Computer Architecture, (414-426)
  242. ACM
    Segulja C and Abdelrahman T Clean Proceedings of the 42nd Annual International Symposium on Computer Architecture, (401-413)
  243. ACM
    Lustig D, Trippel C, Pellauer M and Martonosi M ArMOR Proceedings of the 42nd Annual International Symposium on Computer Architecture, (388-400)
  244. ACM
    Karakostas V, Gandhi J, Ayar F, Cristal A, Hill M, McKinley K, Nemirovsky M, Swift M and Ünsal O Redundant memory mappings for fast access to large memories Proceedings of the 42nd Annual International Symposium on Computer Architecture, (66-78)
  245. ACM
    Cheng H, Zhan J, Zhao J, Xie Y, Sampson J and Irwin M Core vs. uncore Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  246. ACM
    Cho H, Cher C, Shepherd T and Mitra S Understanding soft errors in uncore components Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  247. ACM
    Cong J, Gill M, Hao Y, Reinman G and Yuan B On-chip interconnection network for accelerator-rich architectures Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  248. ACM
    Liu X, Sun S, Zhou P, Li X and Qian H A statistical methodology for noise sensor placement and full-chip voltage map generation Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  249. ACM
    Zhang R, Mazumdar K, Meyer B, Wang K, Skadron K and Stan M A cross-layer design exploration of charge-recycled power-delivery in many-layer 3d-IC Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  250. ACM
    Emani M and O'Boyle M Celebrating diversity: a mixture of experts approach for runtime mapping in dynamic environments Proceedings of the 36th ACM SIGPLAN Conference on Programming Language Design and Implementation, (499-508)
  251. ACM
    Sung H and Adve S (2015). DeNovoSync, ACM SIGARCH Computer Architecture News, 43:1, (545-559), Online publication date: 29-May-2015.
  252. ACM
    Shafaei Bejestan A, Wang Y, Ramadurgam S, Xue Y, Bogdan P and Pedram M Analyzing the Dark Silicon Phenomenon in a Many-Core Chip Multi-Processor under Deeply-Scaled Process Technologies Proceedings of the 25th edition on Great Lakes Symposium on VLSI, (127-132)
  253. ACM
    Das S, Lee D, Kim D and Pande P Small-World Network Enabled Energy Efficient and Robust 3D NoC Architectures Proceedings of the 25th edition on Great Lakes Symposium on VLSI, (133-138)
  254. ACM
    Sung H and Adve S (2015). DeNovoSync, ACM SIGPLAN Notices, 50:4, (545-559), Online publication date: 12-May-2015.
  255. ACM
    Wang J, Abu-Ghazaleh N and Ponomarev D (2015). AIR, ACM Transactions on Modeling and Computer Simulation, 25:3, (1-25), Online publication date: 7-May-2015.
  256. ACM
    Chiu A, Garvey J and Abdelrahman T Genesis Proceedings of the 12th ACM International Conference on Computing Frontiers, (1-8)
  257. Fujita H, Dun N, Rubenstein Z and Chien A Log-structured global array for efficient multi-version snapshots Proceedings of the 15th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing, (281-291)
  258. Kuang W, Brown L and Wang Z Modeling cross-architecture co-tenancy performance interference Proceedings of the 15th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing, (231-240)
  259. ACM
    Olorode O and Nourani M (2015). Improving Performance in Sub-Block Caches with Optimized Replacement Policies, ACM Journal on Emerging Technologies in Computing Systems, 11:4, (1-22), Online publication date: 27-Apr-2015.
  260. ACM
    Colmant M, Kurpicz M, Felber P, Huertas L, Rouvoy R and Sobe A Process-level power estimation in VM-based systems Proceedings of the Tenth European Conference on Computer Systems, (1-14)
  261. ACM
    Das S and Kapoor H Dynamic associativity management using utility based way-sharing Proceedings of the 30th Annual ACM Symposium on Applied Computing, (1919-1924)
  262. ACM
    Wang H, Isci C, Subramanian L, Choi J, Qian D and Mutlu O A-DRM Proceedings of the 11th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, (93-106)
  263. ACM
    Sung H and Adve S DeNovoSync Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, (545-559)
  264. Chen C, Enachescu M and Cotofana S Enabling vertical wormhole switching in 3D NoC-bus hybrid systems Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, (507-512)
  265. ACM
    Atre R, Jannesari A and Wolf F The Basic Building Blocks of Parallel Tasks Proceedings of the 2015 International Workshop on Code Optimisation for Multi and Many Cores, (1-11)
  266. ACM
    Endo F, Couroussé D and Charles H Micro-architectural simulation of embedded core heterogeneity with gem5 and McPAT Proceedings of the 2015 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, (1-6)
  267. ACM
    Huda Z, Jannesari A and Wolf F (2015). Using Template Matching to Infer Parallel Design Patterns, ACM Transactions on Architecture and Code Optimization, 11:4, (1-21), Online publication date: 9-Jan-2015.
  268. ACM
    Rodríguez G, Touriño J and Kandemir M (2014). Volatile STT-RAM Scratchpad Design and Data Allocation for Low Energy, ACM Transactions on Architecture and Code Optimization, 11:4, (1-26), Online publication date: 9-Jan-2015.
  269. ACM
    Kambadur M and Kim M (2014). An experimental survey of energy management across the stack, ACM SIGPLAN Notices, 49:10, (329-344), Online publication date: 31-Dec-2015.
  270. Jerger N, Kannan A, Li Z and Loh G NoC Architectures for Silicon Interposer Systems Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, (458-470)
  271. Nair P, Roberts D and Qureshi M Citadel Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, (51-62)
  272. Zhao J, Mutlu O and Xie Y FIRM Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, (153-165)
  273. Song L, Feng M, Ravi N, Yang Y and Chakradhar S COMP Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, (659-671)
  274. Miguel J, Badr M and Jerger N Load Value Approximation Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, (127-139)
  275. ACM
    Hu J, Zhuge Q, Xue C, Tseng W and Sha E (2014). Management and optimization for nonvolatile memory-based hybrid scratchpad memory on multicore embedded processors, ACM Transactions on Embedded Computing Systems, 13:4, (1-25), Online publication date: 5-Dec-2014.
  276. Marzulo L, Alves T, França F and Costa V (2014). Couillard, Parallel Computing, 40:10, (661-680), Online publication date: 1-Dec-2014.
  277. ACM
    Liu X and Mellor-Crummey J (2014). A tool to analyze the performance of multithreaded programs on NUMA architectures, ACM SIGPLAN Notices, 49:8, (259-272), Online publication date: 26-Nov-2014.
  278. ACM
    Thomson P, Donaldson A and Betts A (2014). Concurrency testing using schedule bounding, ACM SIGPLAN Notices, 49:8, (15-28), Online publication date: 26-Nov-2014.
  279. ACM
    Baek S, Lee H, Nicopoulos C and Kim J (2014). Designing Hybrid DRAM/PCM Main Memory Systems Utilizing Dual-Phase Compression, ACM Transactions on Design Automation of Electronic Systems, 20:1, (1-31), Online publication date: 18-Nov-2014.
  280. ACM
    Murray J, Kim R, Wettin P, Pande P and Shirazi B (2014). Performance Evaluation of Congestion-Aware Routing with DVFS on a Millimeter-Wave Small-World Wireless NoC, ACM Journal on Emerging Technologies in Computing Systems, 11:2, (1-22), Online publication date: 18-Nov-2014.
  281. ACM
    Li P, Gao D and Reiter M (2014). StopWatch, ACM Transactions on Information and System Security, 17:2, (1-28), Online publication date: 17-Nov-2014.
  282. Li Y, Mei K, Liu Y, Zheng N and Xu Y (2014). Application-driven dynamic bandwidth allocation for two-layer network-on-chip design, Computers and Electrical Engineering, 40:8, (317-332), Online publication date: 1-Nov-2014.
  283. ACM
    Bartolini D, Sironi F, Sciuto D and Santambrogio M (2014). Automated Fine-Grained CPU Provisioning for Virtual Machines, ACM Transactions on Architecture and Code Optimization, 11:3, (1-25), Online publication date: 27-Oct-2014.
  284. ACM
    Zhang R, Wang K, Meyer B, Stan M and Skadron K (2014). Architecture implications of pads as a scarce resource, ACM SIGARCH Computer Architecture News, 42:3, (373-384), Online publication date: 16-Oct-2014.
  285. ACM
    Badr M and Jerger N (2014). SynFull, ACM SIGARCH Computer Architecture News, 42:3, (109-120), Online publication date: 16-Oct-2014.
  286. ACM
    Kambadur M and Kim M An experimental survey of energy management across the stack Proceedings of the 2014 ACM International Conference on Object Oriented Programming Systems Languages & Applications, (329-344)
  287. ACM
    Mei H and Wellings A Using JetBench to Evaluate the Efficiency of Multiprocessor Support for Parallel Processing Proceedings of the 12th International Workshop on Java Technologies for Real-time and Embedded Systems, (47-56)
  288. ACM
    Kim R, Liu G, Wettin P, Marculescu R, Marculescu D and Pande P Energy-efficient VFI-partitioned multicore design using wireless NoC architectures Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, (1-9)
  289. ACM
    Chen X and Jha N (2014). Ultra-low-leakage chip multiprocessor design with hybrid FinFET logic styles, ACM Journal on Emerging Technologies in Computing Systems, 11:1, (1-16), Online publication date: 6-Oct-2014.
  290. ACM
    Segulja C and Abdelrahman T What is the cost of weak determinism? Proceedings of the 23rd international conference on Parallel architectures and compilation, (99-112)
  291. ACM
    Sbîrlea D, Budimlić Z and Sarkar V Bounded memory scheduling of dynamic task graphs Proceedings of the 23rd international conference on Parallel architectures and compilation, (343-356)
  292. ACM
    Treichler S, Bauer M and Aiken A Realm Proceedings of the 23rd international conference on Parallel architectures and compilation, (263-276)
  293. ACM
    Afek Y, Levy A and Morrison A Software-improved hardware lock elision Proceedings of the 2014 ACM symposium on Principles of distributed computing, (212-221)
  294. ACM
    Chidambaram Nachiappan N, Yedlapalli P, Soundararajan N, Kandemir M, Sivasubramaniam A and Das C (2014). GemDroid, ACM SIGMETRICS Performance Evaluation Review, 42:1, (355-366), Online publication date: 20-Jun-2014.
  295. ACM
    Chidambaram Nachiappan N, Yedlapalli P, Soundararajan N, Kandemir M, Sivasubramaniam A and Das C GemDroid The 2014 ACM international conference on Measurement and modeling of computer systems, (355-366)
  296. Zhang R, Wang K, Meyer B, Stan M and Skadron K Architecture implications of pads as a scarce resource Proceeding of the 41st annual international symposium on Computer architecuture, (373-384)
  297. Badr M and Jerger N SynFull Proceeding of the 41st annual international symposium on Computer architecuture, (109-120)
  298. ACM
    Michelogiannakis G, Williams A, Williams S and Shalf J Collective memory transfers for multi-core chips Proceedings of the 28th ACM international conference on Supercomputing, (343-352)
  299. ACM
    Panerati J, Maggio M, Carminati M, Sironi F, Triverio M and Santambrogio M (2014). Coordination of Independent Loops in Self-Adaptive Systems, ACM Transactions on Reconfigurable Technology and Systems, 7:2, (1-16), Online publication date: 1-Jun-2014.
  300. ACM
    Zhan J, Xie Y and Sun G NoC-Sprinting Proceedings of the 51st Annual Design Automation Conference, (1-6)
  301. ACM
    Eldridge S, Raudies F, Zou D and Joshi A Neural network-based accelerators for transcendental function approximation Proceedings of the 24th edition of the great lakes symposium on VLSI, (169-174)
  302. ACM
    Murray J, Lu T, Wettin P, Pande P and Shirazi B (2014). Dual-Level DVFS-Enabled Millimeter-Wave Wireless NoC Architectures, ACM Journal on Emerging Technologies in Computing Systems, 10:4, (1-27), Online publication date: 1-May-2014.
  303. ACM
    Vijayakumaran V, Yuvaraj M, Mansoor N, Nerurkar N, Ganguly A and Kwasinski A (2014). CDMA Enabled Wireless Network-on-Chip, ACM Journal on Emerging Technologies in Computing Systems, 10:4, (1-20), Online publication date: 1-May-2014.
  304. ACM
    Zahedi S and Lee B (2014). REF, ACM SIGARCH Computer Architecture News, 42:1, (145-160), Online publication date: 5-Apr-2014.
  305. ACM
    Zahedi S and Lee B (2014). REF, ACM SIGPLAN Notices, 49:4, (145-160), Online publication date: 5-Apr-2014.
  306. Wettin P, Murray J, Kim R, Yu X, Pande P and Heo D Performance evaluation of wireless NoCs in presence of irregular network routing strategies Proceedings of the conference on Design, Automation & Test in Europe, (1-6)
  307. ACM
    Del Barrio A, Bagherzadeh N and Hermida R (2014). Ultra-low-power adder stage design for exascale floating point units, ACM Transactions on Embedded Computing Systems, 13:3s, (1-24), Online publication date: 1-Mar-2014.
  308. Iordan A, Jahre M and Natvig L Victim Selection Policies for Intel TBB Proceedings of the 27th International Conference on Architecture of Computing Systems — ARCS 2014 - Volume 8350, (13-24)
  309. ACM
    Zahedi S and Lee B REF Proceedings of the 19th international conference on Architectural support for programming languages and operating systems, (145-160)
  310. ACM
    Wang Y, Patil H, Pereira C, Lueck G, Gupta R and Neamtiu I DrDebug Proceedings of Annual IEEE/ACM International Symposium on Code Generation and Optimization, (98-108)
  311. ACM
    Wang Y, Patil H, Pereira C, Lueck G, Gupta R and Neamtiu I DrDebug Proceedings of Annual IEEE/ACM International Symposium on Code Generation and Optimization, (98-108)
  312. ACM
    Liu X and Mellor-Crummey J A tool to analyze the performance of multithreaded programs on NUMA architectures Proceedings of the 19th ACM SIGPLAN symposium on Principles and practice of parallel programming, (259-272)
  313. ACM
    Thomson P, Donaldson A and Betts A Concurrency testing using schedule bounding Proceedings of the 19th ACM SIGPLAN symposium on Principles and practice of parallel programming, (15-28)
  314. ACM
    Sironi F, Sciuto D and Santambrogio M (2014). A performance-aware quality of service-driven scheduler for multicore processors, ACM SIGBED Review, 11:1, (50-55), Online publication date: 1-Feb-2014.
  315. ACM
    Aminot A, Lhuillier Y, Chateigner A and Charles H On the advantage of time-varying diversity of workload on functionally asymmetric multi-core Proceedings of International Workshop on Adaptive Self-tuning Computing Systems, (11-13)
  316. ACM
    Libutti S, Massari G, Bellasi P and Fornaciari W Exploiting Performance Counters for Energy Efficient Co-Scheduling of Mixed Workloads on Multi-Core Platforms Proceedings of Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and Design Tools and Architectures for Multicore Embedded Computing Platforms, (27-32)
  317. ACM
    Zebchuk J, Falsafi B and Moshovos A Multi-grain coherence directories Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, (359-370)
  318. ACM
    Albericio J, Ibáñez P, Viñals V and Llabería J The reuse cache Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, (310-321)
  319. ACM
    Vega A, Buyuktosunoglu A, Hanson H, Bose P and Ramani S Crank it up or dial it down Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, (210-221)
  320. ACM
    Vandierendonck H, Tzenakis G and Nikolopoulos D (2013). Analysis of dependence tracking algorithms for task dataflow execution, ACM Transactions on Architecture and Code Optimization, 10:4, (1-24), Online publication date: 1-Dec-2013.
  321. ACM
    Lee J, Nicopoulos C, LEE H and Kim J (2013). TornadoNoC, ACM Transactions on Architecture and Code Optimization, 10:4, (1-30), Online publication date: 1-Dec-2013.
  322. ACM
    Li J, Shi L, Li Q, Xue C, Chen Y, Xu Y and Wang W (2013). Low-energy volatile STT-RAM cache design using cache-coherence-enabled adaptive refresh, ACM Transactions on Design Automation of Electronic Systems, 19:1, (1-23), Online publication date: 1-Dec-2013.
  323. Shafique M and Henkel J Agent-based distributed power management for kilo-core processors Proceedings of the International Conference on Computer-Aided Design, (153-160)
  324. Chen H, Hankendi C, Caramanis M and Coskun A Dynamic server power capping for enabling data center participation in power markets Proceedings of the International Conference on Computer-Aided Design, (122-129)
  325. ACM
    Jian X, Duwe H, Sartori J, Sridharan V and Kumar R Low-power, low-storage-overhead chipkill correct via multi-line error correction Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, (1-12)
  326. ACM
    Vandierendonck H, Chronaki K and Nikolopoulos D Deterministic scale-free pipeline parallelism with hyperqueues Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, (1-12)
  327. ACM
    Treichler S, Bauer M and Aiken A (2013). Language support for dynamic, hierarchical data partitioning, ACM SIGPLAN Notices, 48:10, (495-514), Online publication date: 12-Nov-2013.
  328. Chen N and Johnson R JFlow Proceedings of the 28th IEEE/ACM International Conference on Automated Software Engineering, (202-212)
  329. ACM
    Treichler S, Bauer M and Aiken A Language support for dynamic, hierarchical data partitioning Proceedings of the 2013 ACM SIGPLAN international conference on Object oriented programming systems languages & applications, (495-514)
  330. Oh J, Zajic A and Prvulovic M Traffic steering between a low-latency unswitched TL ring and a high-throughput switched on-chip interconnect Proceedings of the 22nd international conference on Parallel architectures and compilation techniques, (309-318)
  331. Vega A, Buyuktosunoglu A and Bose P SMT-centric power-aware thread placement in chip multiprocessors Proceedings of the 22nd international conference on Parallel architectures and compilation techniques, (167-176)
  332. Sasaki H, Imamura S and Inoue K Coordinated power-performance optimization in manycores Proceedings of the 22nd international conference on Parallel architectures and compilation techniques, (51-62)
  333. ACM
    Scolari A, Sironi F, Bartolini D, Sciuto D and Santambrogio M Coloring the cloud for predictable performance Proceedings of the 4th annual Symposium on Cloud Computing, (1-2)
  334. ACM
    Bartolini D, Sironi F, Maggio M, Durelli G, Sciuto D and Santambrogio M Towards a performance-as-a-service cloud Proceedings of the 4th annual Symposium on Cloud Computing, (1-2)
  335. Zhang T, Pan X, Shu W and Wu M Asymmetry-Aware Scheduling in Heterogeneous Multi-core Architectures Proceedings of the 10th IFIP International Conference on Network and Parallel Computing - Volume 8147, (257-268)
  336. ACM
    Zhao H, Shriraman A, Kumar S and Dwarkadas S (2013). Protozoa, ACM SIGARCH Computer Architecture News, 41:3, (547-558), Online publication date: 26-Jun-2013.
  337. ACM
    Cook H, Moreto M, Bird S, Dao K, Patterson D and Asanovic K (2013). A hardware evaluation of cache partitioning to improve utilization and energy-efficiency while preserving responsiveness, ACM SIGARCH Computer Architecture News, 41:3, (308-319), Online publication date: 26-Jun-2013.
  338. ACM
    Martínez Santos J and Fei Y Micro-architectural support for metadata coherence in multi-core dynamic information flow tracking Proceedings of the 2nd International Workshop on Hardware and Architectural Support for Security and Privacy, (1-8)
  339. ACM
    Zhao H, Shriraman A, Kumar S and Dwarkadas S Protozoa Proceedings of the 40th Annual International Symposium on Computer Architecture, (547-558)
  340. ACM
    Cook H, Moreto M, Bird S, Dao K, Patterson D and Asanovic K A hardware evaluation of cache partitioning to improve utilization and energy-efficiency while preserving responsiveness Proceedings of the 40th Annual International Symposium on Computer Architecture, (308-319)
  341. ACM
    Zhou L, Wu S, Sun H, Jin H and Shi X Supporting parallel soft real-time applications in virtualized environment Proceedings of the 22nd international symposium on High-performance parallel and distributed computing, (117-118)
  342. ACM
    Sen R and Wood D Reuse-based online models for caches Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems, (279-292)
  343. ACM
    Zhou L, Wu S, Sun H, Jin H and Shi X Supporting parallel soft real-time applications in virtualized environment Proceedings of the 22nd international symposium on High-performance parallel and distributed computing, (117-118)
  344. ACM
    Venkatesh G APE Proceedings of the ACM SIGPLAN Workshop on Memory Systems Performance and Correctness, (1-10)
  345. ACM
    Sen R and Wood D (2013). Reuse-based online models for caches, ACM SIGMETRICS Performance Evaluation Review, 41:1, (279-292), Online publication date: 14-Jun-2013.
  346. ACM
    Guha A, Zhang Y, ur Rasool R and Chien A (2013). Systematic evaluation of workload clustering for extremely energy-efficient architectures, ACM SIGARCH Computer Architecture News, 41:2, (22-29), Online publication date: 29-May-2013.
  347. ACM
    Chippa V, Chakradhar S, Roy K and Raghunathan A Analysis and characterization of inherent application resilience for approximate computing Proceedings of the 50th Annual Design Automation Conference, (1-9)
  348. ACM
    Bartolini D, Cattaneo R, Durelli G, Maggio M, Santambrogio M and Sironi F The autonomic operating system research project Proceedings of the 50th Annual Design Automation Conference, (1-10)
  349. Xu X, Teramoto K, Morales A and Huang H DUAL Proceedings of the 13th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing, (530-537)
  350. ACM
    Kang H and Wong J (2013). To hardware prefetch or not to prefetch?, ACM SIGPLAN Notices, 48:4, (357-368), Online publication date: 23-Apr-2013.
  351. ACM
    Sung H, Komuravelli R and Adve S (2013). DeNovoND, ACM SIGPLAN Notices, 48:4, (13-26), Online publication date: 23-Apr-2013.
  352. ACM
    Kang H and Wong J (2013). To hardware prefetch or not to prefetch?, ACM SIGARCH Computer Architecture News, 41:1, (357-368), Online publication date: 29-Mar-2013.
  353. ACM
    Sung H, Komuravelli R and Adve S (2013). DeNovoND, ACM SIGARCH Computer Architecture News, 41:1, (13-26), Online publication date: 29-Mar-2013.
  354. Ying H, Hollstein T and Hofmann K Fast and optimized task allocation method for low vertical link density 3-dimensional networks-on-chip based many core systems Proceedings of the Conference on Design, Automation and Test in Europe, (1777-1782)
  355. Li J, Shi L, Li Q, Xue C, Chen Y and Xu Y Cache coherence enabled adaptive refresh for volatile STT-RAM Proceedings of the Conference on Design, Automation and Test in Europe, (1247-1250)
  356. Wettin P, Murray J, Pande P, Shirazi B and Ganguly A Energy-efficient multicore chip design through cross-layer approach Proceedings of the Conference on Design, Automation and Test in Europe, (725-730)
  357. Shafique M, Vogel B and Henkel J Self-adaptive hybrid dynamic power management for many-core systems Proceedings of the Conference on Design, Automation and Test in Europe, (51-56)
  358. ACM
    Kang H and Wong J To hardware prefetch or not to prefetch? Proceedings of the eighteenth international conference on Architectural support for programming languages and operating systems, (357-368)
  359. ACM
    Sung H, Komuravelli R and Adve S DeNovoND Proceedings of the eighteenth international conference on Architectural support for programming languages and operating systems, (13-26)
  360. de Kruijf M and Sankaralingam K Idempotent code generation Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization (CGO), (1-12)
  361. Black-Schaffer D, Nikoleris N, Hagersten E and Eklov D Bandwidth Bandit Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization (CGO), (1-10)
  362. ACM
    Maggio M, Hoffmann H, Papadopoulos A, Panerati J, Santambrogio M, Agarwal A and Leva A (2012). Comparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systems, ACM Transactions on Autonomous and Adaptive Systems, 7:4, (1-32), Online publication date: 1-Dec-2012.
  363. Kumar S, Zhao H, Shriraman A, Matthews E, Dwarkadas S and Shannon L Amoeba-Cache Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, (376-388)
  364. Kim Y, John L, Pant S, Manne S, Schulte M, Bircher W and Govindan M AUDIT Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, (212-223)
  365. ACM
    Effinger-Dean L, Lucia B, Ceze L, Grossman D and Boehm H (2012). IFRit, ACM SIGPLAN Notices, 47:10, (467-484), Online publication date: 15-Nov-2012.
  366. Schindewolf M, Bihari B, Gyllenhaal J, Schulz M, Wang A and Karl W What scientific applications can benefit from hardware transactional memory? Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, (1-11)
  367. Bauer M, Treichler S, Slaughter E and Aiken A Legion Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, (1-11)
  368. ACM
    Bi X, Sun Z, Li H and Wu W Probabilistic design methodology to improve run-time stability and performance of STT-RAM caches Proceedings of the International Conference on Computer-Aided Design, (88-94)
  369. ACM
    Effinger-Dean L, Lucia B, Ceze L, Grossman D and Boehm H IFRit Proceedings of the ACM international conference on Object oriented programming systems languages and applications, (467-484)
  370. ACM
    Sundararajan K, Jones T and Topham N Energy-efficient cache partitioning for future CMPs Proceedings of the 21st international conference on Parallel architectures and compilation techniques, (465-466)
  371. ACM
    Sasaki H, Tanimoto T, Inoue K and Nakamura H Scalability-based manycore partitioning Proceedings of the 21st international conference on Parallel architectures and compilation techniques, (107-116)
  372. ACM
    Aronis S, Papaspyrou N, Roukounaki K, Sagonas K, Tsiouris Y and Venetis I A scalability benchmark suite for Erlang/OTP Proceedings of the eleventh ACM SIGPLAN workshop on Erlang workshop, (33-42)
  373. ACM
    Kambadur M, Tang K and Kim M (2012). Harmony, ACM SIGARCH Computer Architecture News, 40:3, (452-463), Online publication date: 5-Sep-2012.
  374. ACM
    Lewis A, Tzeng N and Ghosh S (2012). Runtime energy consumption estimation for server workloads based on chaotic time-series approximation, ACM Transactions on Architecture and Code Optimization, 9:3, (1-26), Online publication date: 1-Sep-2012.
  375. ACM
    Nagarakatte S, Burckhardt S, Martin M and Musuvathi M (2012). Multicore acceleration of priority-based schedulers for concurrency bug detection, ACM SIGPLAN Notices, 47:6, (543-554), Online publication date: 6-Aug-2012.
  376. ACM
    Nagarakatte S, Burckhardt S, Martin M and Musuvathi M Multicore acceleration of priority-based schedulers for concurrency bug detection Proceedings of the 33rd ACM SIGPLAN Conference on Programming Language Design and Implementation, (543-554)
  377. Kambadur M, Tang K and Kim M Harmony Proceedings of the 39th Annual International Symposium on Computer Architecture, (452-463)
  378. ACM
    Sironi F, Bartolini D, Campanoni S, Cancare F, Hoffmann H, Sciuto D and Santambrogio M Metronome Proceedings of the 49th Annual Design Automation Conference, (856-865)
  379. ACM
    Meng J, Kawakami K and Coskun A Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints Proceedings of the 49th Annual Design Automation Conference, (648-655)
  380. ACM
    Clements A, Kaashoek M and Zeldovich N (2012). Scalable address spaces using RCU balanced trees, ACM SIGPLAN Notices, 47:4, (199-210), Online publication date: 1-Jun-2012.
  381. ACM
    Olszewski M, Zhao Q, Koh D, Ansel J and Amarasinghe S (2012). Aikido, ACM SIGPLAN Notices, 47:4, (173-184), Online publication date: 1-Jun-2012.
  382. ACM
    Rahman S, Guo J, Bhat A, Garcia C, Sujon M, Yi Q, Liao C and Quinlan D Studying the impact of application-level optimizations on the power consumption of multi-core architectures Proceedings of the 9th conference on Computing Frontiers, (123-132)
  383. ACM
    Clements A, Kaashoek M and Zeldovich N (2012). Scalable address spaces using RCU balanced trees, ACM SIGARCH Computer Architecture News, 40:1, (199-210), Online publication date: 18-Apr-2012.
  384. ACM
    Olszewski M, Zhao Q, Koh D, Ansel J and Amarasinghe S (2012). Aikido, ACM SIGARCH Computer Architecture News, 40:1, (173-184), Online publication date: 18-Apr-2012.
  385. Meng J and Coskun A Analysis and runtime management of 3D systems with stacked DRAM for boosting energy efficiency Proceedings of the Conference on Design, Automation and Test in Europe, (611-616)
  386. ACM
    Clements A, Kaashoek M and Zeldovich N Scalable address spaces using RCU balanced trees Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems, (199-210)
  387. ACM
    Olszewski M, Zhao Q, Koh D, Ansel J and Amarasinghe S Aikido Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems, (173-184)
  388. ACM
    Albericio J, Gran R, Ibáñez P, Viñals V and Llabería J (2012). ABS, ACM Transactions on Architecture and Code Optimization, 8:4, (1-20), Online publication date: 1-Jan-2012.
  389. ACM
    Michelogiannakis G, Jiang N, Becker D and Dally W Packet chaining Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, (83-94)
  390. ACM
    Chiang R and Huang H TRACON Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis, (1-12)
  391. Schwartz-Narbonne D, Liu F, Pondicherry T, August D and Malik S Parallel assertions for debugging parallel programs Proceedings of the Ninth ACM/IEEE International Conference on Formal Methods and Models for Codesign, (181-190)
  392. ACM
    Hofmann O, Dunn A, Kim S, Roy I and Witchel E (2011). Ensuring operating system kernel integrity with OSck, ACM SIGPLAN Notices, 46:3, (279-290), Online publication date: 17-Mar-2011.
  393. ACM
    Hofmann O, Dunn A, Kim S, Roy I and Witchel E (2011). Ensuring operating system kernel integrity with OSck, ACM SIGARCH Computer Architecture News, 39:1, (279-290), Online publication date: 17-Mar-2011.
  394. ACM
    Hofmann O, Dunn A, Kim S, Roy I and Witchel E Ensuring operating system kernel integrity with OSck Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems, (279-290)
Contributors
  • Princeton University
  • Princeton University

Recommendations