skip to main content
Skip header Section
A Primer on Memory Consistency and Cache CoherenceMay 2011
Publisher:
  • Morgan & Claypool Publishers
ISBN:978-1-60845-564-5
Published:12 May 2011
Pages:
210
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

Many modern computer systems and most multicore chips (chip multiprocessors) support shared memory in hardware. In a shared memory system, each of the processor cores may read and write to a single shared address space. For a shared memory machine, the memory consistency model defines the architecturally visible behavior of its memory system. Consistency definitions provide rules about loads and stores (or memory reads and writes) and how they act upon memory. As part of supporting a memory consistency model, many machines also provide cache coherence protocols that ensure that multiple cached copies of data are kept up-to-date. The goal of this primer is to provide readers with a basic understanding of consistency and coherence. This understanding includes both the issues that must be solved as well as a variety of solutions. We present both highlevel concepts as well as specific, concrete examples from real-world systems. Table of Contents: Preface / Introduction to Consistency and Coherence / Coherence Basics / Memory Consistency Motivation and Sequential Consistency / Total Store Order and the x86 Memory Model / Relaxed Memory Consistency / Coherence Protocols / Snooping Coherence Protocols / Directory Coherence Protocols / Advanced Topics in Coherence / Author Biographies

Cited By

  1. ACM
    Puthoor S and Lipasti M (2023). Turn-based Spatiotemporal Coherence for GPUs, ACM Transactions on Architecture and Code Optimization, 20:3, (1-27), Online publication date: 30-Sep-2023.
  2. ACM
    Kou Z, Sinha S, He W and Zhang W Attack Directories on ARM big.LITTLE Processors Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, (1-9)
  3. Kaushik A and Patel H (2022). Automatic Construction of Predictable and High-Performance Cache Coherence Protocols for Multicore Real-Time Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41:10, (3318-3331), Online publication date: 1-Oct-2022.
  4. ACM
    Alsop J, Na W, Sinclair M, Grayson S and Adve S (2022). A Case for Fine-grain Coherence Specialization in Heterogeneous Systems, ACM Transactions on Architecture and Code Optimization, 19:3, (1-26), Online publication date: 30-Sep-2022.
  5. ACM
    Chabbi M and Ramanathan M A study of real-world data races in Golang Proceedings of the 43rd ACM SIGPLAN International Conference on Programming Language Design and Implementation, (474-489)
  6. ACM
    Baoni V, Mittal A and Sohi G Fat Loads: Exploiting Locality Amongst Contemporaneous Load Operations to Optimize Cache Accesses MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, (366-379)
  7. Patil A, Nagarajan V, Balasubramonian R and Oswald N Dvé Proceedings of the 48th Annual International Symposium on Computer Architecture, (526-539)
  8. ACM
    Puthoor S and Lipasti M (2021). Systems-on-Chip with Strong Ordering, ACM Transactions on Architecture and Code Optimization, 18:1, (1-27), Online publication date: 31-Mar-2021.
  9. ACM
    Alistarh D, Brown T and Singhal N Memory Tagging: Minimalist Synchronization for Scalable Concurrent Data Structures Proceedings of the 32nd ACM Symposium on Parallelism in Algorithms and Architectures, (37-49)
  10. Oswald N, Nagarajan V and Sorin D HieraGen Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture, (888-899)
  11. Yu J, Mantri N, Torrellas J, Morrison A and Fletcher C Speculative data-oblivious execution Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture, (707-720)
  12. Wang M, Ta T, Cheng L and Batten C Efficiently supporting dynamic task parallelism on heterogeneous cache-coherent systems Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture, (173-186)
  13. ACM
    Lipp M, Schwarz M, Gruss D, Prescher T, Haas W, Horn J, Mangard S, Kocher P, Genkin D, Yarom Y, Hamburg M and Strackx R (2020). Meltdown, Communications of the ACM, 63:6, (46-56), Online publication date: 21-May-2020.
  14. ACM
    Zhang R, Biswas S, Balaji V, Bond M and Lucia B Peacenik Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, (317-333)
  15. ACM
    Ostrovsky O and Morrison A Scaling concurrent queues by using HTM to profit from failed atomic operations Proceedings of the 25th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, (89-101)
  16. Titos-Gil R, Flores A, Fernández-Pascual R, Ros A, Petit S, Sahuquillo J and Acacio M (2019). Way Combination for an Adaptive and Scalable Coherence Directory, IEEE Transactions on Parallel and Distributed Systems, 30:11, (2608-2623), Online publication date: 1-Nov-2019.
  17. Smith G, Coughlin N and Murray T Value-Dependent Information-Flow Security on Weak Memory Models Formal Methods – The Next 30 Years, (539-555)
  18. ACM
    Valero A, Gracia D, Tejero R, Ramos L, Navarro-Torres A, Muñoz A, Ezpeleta J, Briz J, Murillo A, Montijano E, Resano J, Villarroya-Gaudó M, Alastruey-Benedé J, Torres E, Álvarez P, Ibáñez P and Viñals V Exposing Abstraction-Level Interactions with a Parallel Ray Tracer Proceedings of the Workshop on Computer Architecture Education, (1-8)
  19. ACM
    Sun Y, Baruah T, Mojumder S, Dong S, Gong X, Treadway S, Bao Y, Hance S, McCardwell C, Zhao V, Barclay H, Ziabari A, Chen Z, Ubal R, Abellán J, Kim J, Joshi A and Kaeli D MGPUSim Proceedings of the 46th International Symposium on Computer Architecture, (197-209)
  20. ACM
    Khan T, Zhao Y, Pokam G, Mozafari B and Kasikci B Huron: hybrid false sharing detection and repair Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, (453-468)
  21. ACM
    Merrifield T, Roghanchi S, Devietti J and Eriksson J Lazy Determinism for Faster Deterministic Multithreading Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, (879-891)
  22. ACM
    Lustig D, Sahasrabuddhe S and Giroux O A Formal Analysis of the NVIDIA PTX Memory Consistency Model Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, (257-270)
  23. Ianni M, Pellegrini A and Quaglia F (2019). Anonymous Readers Counting, IEEE Transactions on Parallel and Distributed Systems, 30:2, (286-299), Online publication date: 1-Feb-2019.
  24. ACM
    Giri D, Mantovani P and Carloni L Runtime reconfigurable memory hierarchy in embedded scalable platforms Proceedings of the 24th Asia and South Pacific Design Automation Conference, (719-726)
  25. Caheny P, Alvarez L, Valero M, Moretó M and Casas M Runtime-assisted cache coherence deactivation in task parallel programs Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis, (1-12)
  26. Caheny P, Alvarez L, Valero M, Moretó M and Casas M Runtime-assisted cache coherence deactivation in task parallel programs Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis, (1-12)
  27. Bijo S, Johnsen E, Pun K, Seidl C and Tarifa S Deployment by Construction for Multicore Architectures Leveraging Applications of Formal Methods, Verification and Validation. Modeling, (448-465)
  28. Yan M, Choi J, Skarlatos D, Morrison A, Fletcher C and Torrellas J InvisiSpec Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, (428-441)
  29. Ros A and Kaxiras S The superfluous load queue Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, (95-107)
  30. Giri D, Mantovani P and Carloni L NoC-Based support of heterogeneous cache-coherence models for accelerators Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, (1-8)
  31. ACM
    Leidel J Stake Proceedings of the International Symposium on Memory Systems, (365-376)
  32. ACM
    Yan Z, Veselý J, Cox G and Bhattacharjee A (2018). Hardware Translation Coherence for Virtualized Systems, ACM SIGOPS Operating Systems Review, 52:1, (57-70), Online publication date: 28-Aug-2018.
  33. ACM
    Mittal R, Shpiner A, Panda A, Zahavi E, Krishnamurthy A, Ratnasamy S and Shenker S Revisiting network support for RDMA Proceedings of the 2018 Conference of the ACM Special Interest Group on Data Communication, (313-326)
  34. Alonso M and Flich J (2018). PROSA: Protocol-Driven Network on Chip Architecture, IEEE Transactions on Parallel and Distributed Systems, 29:7, (1560-1574), Online publication date: 1-Jul-2018.
  35. ACM
    Zoni D, Colombo L and Fornaciari W (2018). DarkCache, ACM Transactions on Architecture and Code Optimization, 15:2, (1-26), Online publication date: 22-Jun-2018.
  36. Blieberger J and Burgstaller B Safe Non-blocking Synchronization in Ada2x Reliable Software Technologies – Ada-Europe 2018, (53-69)
  37. Joshi A, Nagarajan V, Cintra M and Viglas S DHTM Proceedings of the 45th Annual International Symposium on Computer Architecture, (452-465)
  38. Oswald N, Nagarajan V and Sorin D Protogen Proceedings of the 45th Annual International Symposium on Computer Architecture, (247-260)
  39. Zhang S, Vijayaraghavan M, Wright A, Alipour M and Arvind Constructing a weak memory model Proceedings of the 45th Annual International Symposium on Computer Architecture, (124-137)
  40. Yu X, Xia Y, Pavlo A, Sanchez D, Rudolph L and Devadas S (2018). Sundial, Proceedings of the VLDB Endowment, 11:10, (1289-1302), Online publication date: 1-Jun-2018.
  41. ACM
    Gavrielatos V, Katsarakis A, Joshi A, Oswald N, Grot B and Nagarajan V Scale-out ccNUMA Proceedings of the Thirteenth EuroSys Conference, (1-15)
  42. ACM
    Patil A and Govindarajan R (2017). HAShCache, ACM Transactions on Architecture and Code Optimization, 14:4, (1-26), Online publication date: 20-Dec-2017.
  43. Ros A, Leonardsson C, Sakalis C and Kaxiras S (2017). Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics, IEEE Transactions on Parallel and Distributed Systems, 28:12, (3413-3425), Online publication date: 1-Dec-2017.
  44. Yao Y, Chen W, Mitra T and Xiang Y (2017). TC-Release++: An Efficient Timestamp-Based Coherence Protocol for Many-Core Architectures, IEEE Transactions on Parallel and Distributed Systems, 28:11, (3313-3327), Online publication date: 1-Nov-2017.
  45. ACM
    Matthews O and Sorin D Architecting hierarchical coherence protocols for push-button parametric verification Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, (477-489)
  46. Banks C, Elver M, Hoffmann R, Sarkar S, Jackson P and Nagarajan V Verification of a lazy cache coherence protocol against a weak memory model Proceedings of the 17th Conference on Formal Methods in Computer-Aided Design, (60-67)
  47. Li J, Li M, Xue C, Ouyang Y and Shen F (2017). Thread Criticality Assisted Replication and Migration for Chip Multiprocessor Caches, IEEE Transactions on Computers, 66:10, (1747-1762), Online publication date: 1-Oct-2017.
  48. ACM
    Vermij E, Fiorin L, Jongerius R, Hagleitner C, Lunteren J and Bertels K (2017). An Architecture for Integrated Near-Data Processors, ACM Transactions on Architecture and Code Optimization, 14:3, (1-25), Online publication date: 30-Sep-2017.
  49. ACM
    Lee D and Bertacco V (2017). MTraceCheck, ACM SIGARCH Computer Architecture News, 45:2, (201-213), Online publication date: 14-Sep-2017.
  50. ACM
    Ros A, Carlson T, Alipour M and Kaxiras S (2017). Non-Speculative Load-Load Reordering in TSO, ACM SIGARCH Computer Architecture News, 45:2, (187-200), Online publication date: 14-Sep-2017.
  51. ACM
    Yan Z, Veselý J, Cox G and Bhattacharjee A (2017). Hardware Translation Coherence for Virtualized Systems, ACM SIGARCH Computer Architecture News, 45:2, (430-443), Online publication date: 14-Sep-2017.
  52. ACM
    Lee D and Bertacco V MTraceCheck Proceedings of the 44th Annual International Symposium on Computer Architecture, (201-213)
  53. ACM
    Ros A, Carlson T, Alipour M and Kaxiras S Non-Speculative Load-Load Reordering in TSO Proceedings of the 44th Annual International Symposium on Computer Architecture, (187-200)
  54. ACM
    Yan Z, Veselý J, Cox G and Bhattacharjee A Hardware Translation Coherence for Virtualized Systems Proceedings of the 44th Annual International Symposium on Computer Architecture, (430-443)
  55. ACM
    Titos-Gil R, Flores A, Fernández-Pascual R, Ros A and Acacio M Way-combining directory Proceedings of the International Conference on Supercomputing, (1-10)
  56. Zoni D, Canidio A, Fornaciari W, Englezakis P, Nicopoulos C and Sazeides Y (2017). BlackOut, Journal of Parallel and Distributed Computing, 104:C, (130-145), Online publication date: 1-Jun-2017.
  57. Quislant R, Gutierrez E, Zapata E and Plata O (2017). Enhancing scalability in best-effort hardware transactional memory systems, Journal of Parallel and Distributed Computing, 104:C, (73-87), Online publication date: 1-Jun-2017.
  58. ACM
    Marotta R, Ianni M, Pellegrini A and Quaglia F A Conflict-Resilient Lock-Free Calendar Queue for Scalable Share-Everything PDES Platforms Proceedings of the 2017 ACM SIGSIM Conference on Principles of Advanced Discrete Simulation, (15-26)
  59. ACM
    Olson L, Hill M and Wood D (2017). Crossing Guard, ACM SIGPLAN Notices, 52:4, (163-176), Online publication date: 12-May-2017.
  60. ACM
    Olson L, Hill M and Wood D (2017). Crossing Guard, ACM SIGARCH Computer Architecture News, 45:1, (163-176), Online publication date: 11-May-2017.
  61. ACM
    Wickerson J, Batty M, Sorensen T and Constantinides G (2017). Automatically comparing memory consistency models, ACM SIGPLAN Notices, 52:1, (190-204), Online publication date: 11-May-2017.
  62. ACM
    Chatzopoulos G, Guerraoui R, Harris T and Trigonakis V Abstracting Multi-Core Topologies with MCTOP Proceedings of the Twelfth European Conference on Computer Systems, (544-559)
  63. ACM
    Olson L, Hill M and Wood D Crossing Guard Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, (163-176)
  64. Pillai T, Alagappan R, Lu L, Chidambaram V, Arpaci-Dusseau A and Arpaci-Dusseau R Application crash consistency and performance with CCFS Proceedings of the 15th Usenix Conference on File and Storage Technologies, (181-196)
  65. ACM
    Wickerson J, Batty M, Sorensen T and Constantinides G Automatically comparing memory consistency models Proceedings of the 44th ACM SIGPLAN Symposium on Principles of Programming Languages, (190-204)
  66. ACM
    Haider S, Hasenplaugh W and Alistarh D (2016). Lease/release, ACM SIGPLAN Notices, 51:8, (1-12), Online publication date: 9-Nov-2016.
  67. Ros A and Jimborean A (2016). A Hybrid Static-Dynamic Classification for Dual-Consistency Cache Coherence, IEEE Transactions on Parallel and Distributed Systems, 27:11, (3101-3115), Online publication date: 1-Nov-2016.
  68. Huang C, Kumar R, Elver M, Grot B and Nagarajan V C3D The 49th Annual IEEE/ACM International Symposium on Microarchitecture, (1-12)
  69. Chou C, Jaleel A and Qureshi M CANDY The 49th Annual IEEE/ACM International Symposium on Microarchitecture, (1-13)
  70. Ros A and Kaxiras S Racer The 49th Annual IEEE/ACM International Symposium on Microarchitecture, (1-13)
  71. Alsop J, Orr M, Beckmann B and Wood D Lazy release consistency for GPUs The 49th Annual IEEE/ACM International Symposium on Microarchitecture, (1-13)
  72. Matthews O, Bingham J and Sorin D Verifiable hierarchical protocols with network invariants on parametric systems Proceedings of the 16th Conference on Formal Methods in Computer-Aided Design, (101-108)
  73. ACM
    Yu X, Liu H, Zou E and Devadas S Tardis 2.0 Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, (261-274)
  74. Quislant R, Gutierrez E, Zapata E and Plata O Insights into the Fallback Path of Best-Effort Hardware Transactional Memory Systems Proceedings of the 22nd International Conference on Euro-Par 2016: Parallel Processing - Volume 9833, (251-263)
  75. ACM
    Joshi A, Vollala S, Begum B and Ramasubramanian N Performance Analysis of Cache Coherence Protocols for Multi-core Architectures Proceedings of the International Conference on Advances in Information Communication Technology & Computing, (1-7)
  76. ACM
    Sorensen T and Donaldson A (2016). Exposing errors related to weak memory in GPU applications, ACM SIGPLAN Notices, 51:6, (100-113), Online publication date: 1-Aug-2016.
  77. ACM
    Bornholt J, Kaufmann A, Li J, Krishnamurthy A, Torlak E and Wang X (2016). Specifying and Checking File System Crash-Consistency Models, ACM SIGARCH Computer Architecture News, 44:2, (83-98), Online publication date: 29-Jul-2016.
  78. ACM
    Bornholt J, Kaufmann A, Li J, Krishnamurthy A, Torlak E and Wang X (2016). Specifying and Checking File System Crash-Consistency Models, ACM SIGPLAN Notices, 51:4, (83-98), Online publication date: 9-Jun-2016.
  79. ACM
    Sorensen T and Donaldson A Exposing errors related to weak memory in GPU applications Proceedings of the 37th ACM SIGPLAN Conference on Programming Language Design and Implementation, (100-113)
  80. ACM
    Yao Y, Wang G, Ge Z, Mitra T, Chen W and Zhang N Efficient Timestamp-Based Cache Coherence Protocol for Many-Core Architectures Proceedings of the 2016 International Conference on Supercomputing, (1-13)
  81. ACM
    Soltani M, Ebrahimi M and Navabi Z Prolonging Lifetime of Non-volatile Last Level Caches with Cluster Mapping Proceedings of the 26th edition on Great Lakes Symposium on VLSI, (329-334)
  82. ACM
    Bornholt J, Kaufmann A, Li J, Krishnamurthy A, Torlak E and Wang X Specifying and Checking File System Crash-Consistency Models Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, (83-98)
  83. ACM
    Petrović D, Ropars T and Schiper A (2016). Leveraging Hardware Message Passing for Efficient Thread Synchronization, ACM Transactions on Parallel Computing, 2:4, (1-26), Online publication date: 15-Mar-2016.
  84. ACM
    Haider S, Hasenplaugh W and Alistarh D Lease/release Proceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, (1-12)
  85. ACM
    Poddar S, Ghosal P and Rahaman H (2016). Design of a High-Performance CDMA-Based Broadcast-Free Photonic Multi-Core Network on Chip, ACM Transactions on Embedded Computing Systems, 15:1, (1-30), Online publication date: 20-Feb-2016.
  86. Lukefahr A, Padmanabha S, Das R, Sleiman F, Dreslinski R, Wenisch T and Mahlke S (2016). Exploring Fine-Grained Heterogeneity with Composite Cores, IEEE Transactions on Computers, 65:2, (535-547), Online publication date: 1-Feb-2016.
  87. ACM
    Lustig D, Trippel C, Pellauer M and Martonosi M (2015). ArMOR, ACM SIGARCH Computer Architecture News, 43:3S, (388-400), Online publication date: 4-Jan-2016.
  88. ACM
    Manerkar Y, Lustig D, Pellauer M and Martonosi M CCICheck Proceedings of the 48th International Symposium on Microarchitecture, (26-37)
  89. ACM
    Zhang G, Horn W and Sanchez D Exploiting commutativity to reduce the cost of updates to shared data in cache-coherent systems Proceedings of the 48th International Symposium on Microarchitecture, (13-25)
  90. Kamkin A (2015). Projecting transition systems, Programming and Computing Software, 41:6, (311-324), Online publication date: 1-Nov-2015.
  91. ACM
    Davari M, Ros A, Hagersten E and Kaxiras S (2015). The Effects of Granularity and Adaptivity on Private/Shared Classification for Coherence, ACM Transactions on Architecture and Code Optimization, 12:3, (1-21), Online publication date: 6-Oct-2015.
  92. Nassar A, Kurdahi F and Elsharkasy W NUVA Proceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, (137-146)
  93. ACM
    Pillai T, Chidambaram V, Alagappan R, Al-Kiswany S, Arpaci-Dusseau A and Arpaci-Dusseau R (2015). Crash consistency, Communications of the ACM, 58:10, (46-51), Online publication date: 28-Sep-2015.
  94. Potluri S, Rossetti D, Becker D, Poole D, Gorentla Venkata M, Hernandez O, Shamis P, Lopez M, Baker M and Poole W Exploring OpenSHMEM Model to Program GPU-based Extreme-Scale Systems Revised Selected Papers of the Second Workshop on OpenSHMEM and Related Technologies. Experiences, Implementations, and Technologies - Volume 9397, (18-35)
  95. ACM
    Pillai T, Chidambaram V, Alagappan R, Al-Kiswany S, Arpaci-Dusseau A and Arpaci-Dusseau R (2015). Crash Consistency, Queue, 13:7, (20-28), Online publication date: 1-Jul-2015.
  96. ACM
    Lustig D, Trippel C, Pellauer M and Martonosi M ArMOR Proceedings of the 42nd Annual International Symposium on Computer Architecture, (388-400)
  97. ACM
    Lin F, Wang Z and Zhong L (2015). K2, ACM Transactions on Computer Systems, 33:2, (1-27), Online publication date: 8-Jun-2015.
  98. ACM
    Morrison A and Afek Y (2015). Temporally Bounding TSO for Fence-Free Asymmetric Synchronization, ACM SIGARCH Computer Architecture News, 43:1, (45-58), Online publication date: 29-May-2015.
  99. ACM
    Orr M, Che S, Yilmazer A, Beckmann B, Hill M and Wood D (2015). Synchronization Using Remote-Scope Promotion, ACM SIGARCH Computer Architecture News, 43:1, (73-86), Online publication date: 29-May-2015.
  100. ACM
    Morrison A and Afek Y (2015). Temporally Bounding TSO for Fence-Free Asymmetric Synchronization, ACM SIGPLAN Notices, 50:4, (45-58), Online publication date: 12-May-2015.
  101. ACM
    Orr M, Che S, Yilmazer A, Beckmann B, Hill M and Wood D (2015). Synchronization Using Remote-Scope Promotion, ACM SIGPLAN Notices, 50:4, (73-86), Online publication date: 12-May-2015.
  102. Mateosian R (2015). Writing Well, IEEE Micro, 35:3, (147-149), Online publication date: 1-May-2015.
  103. Meng Zhang , Bingham J, Erickson J and Sorin D (2015). PVCoherence: Designing Flat Coherence Protocols for Scalable Verification, IEEE Micro, 35:3, (84-91), Online publication date: 1-May-2015.
  104. ACM
    Li Z, Goswami N and Li T (2015). iConn, ACM Journal on Emerging Technologies in Computing Systems, 11:4, (1-23), Online publication date: 27-Apr-2015.
  105. ACM
    Morrison A and Afek Y Temporally Bounding TSO for Fence-Free Asymmetric Synchronization Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, (45-58)
  106. ACM
    Orr M, Che S, Yilmazer A, Beckmann B, Hill M and Wood D Synchronization Using Remote-Scope Promotion Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, (73-86)
  107. Yao Y, Wang G, Ge Z, Mitra T, Chen W and Zhang N SelectDirectory Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, (175-180)
  108. ACM
    Abdelfattah M, Bitar A and Betz V Take the Highway Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, (98-107)
  109. ACM
    Ros A and Kaxiras S Fast&Furious Proceedings of the 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures, (1-6)
  110. ACM
    Petrović D, Ropars T and Schiper A On the Performance of Delegation over Cache-Coherent Shared Memory Proceedings of the 16th International Conference on Distributed Computing and Networking, (1-10)
  111. ACM
    Petrović D, Ropars T and Schiper A (2014). Leveraging hardware message passing for efficient thread synchronization, ACM SIGPLAN Notices, 49:8, (143-154), Online publication date: 26-Nov-2014.
  112. ACM
    Qian X, Sahelices B and Qian D (2014). Pacifier, ACM SIGARCH Computer Architecture News, 42:3, (433-444), Online publication date: 16-Oct-2014.
  113. ACM
    Badr M and Jerger N (2014). SynFull, ACM SIGARCH Computer Architecture News, 42:3, (109-120), Online publication date: 16-Oct-2014.
  114. Qian X, Sahelices B and Qian D Pacifier Proceeding of the 41st annual international symposium on Computer architecuture, (433-444)
  115. Badr M and Jerger N SynFull Proceeding of the 41st annual international symposium on Computer architecuture, (109-120)
  116. ACM
    Hower D, Hechtman B, Beckmann B, Gaster B, Hill M, Reinhardt S and Wood D (2014). Heterogeneous-race-free memory models, ACM SIGARCH Computer Architecture News, 42:1, (427-440), Online publication date: 5-Apr-2014.
  117. ACM
    Honarmand N and Torrellas J (2014). RelaxReplay, ACM SIGARCH Computer Architecture News, 42:1, (223-238), Online publication date: 5-Apr-2014.
  118. ACM
    Lin F, Wang Z and Zhong L (2014). K2, ACM SIGARCH Computer Architecture News, 42:1, (285-300), Online publication date: 5-Apr-2014.
  119. ACM
    Hower D, Hechtman B, Beckmann B, Gaster B, Hill M, Reinhardt S and Wood D (2014). Heterogeneous-race-free memory models, ACM SIGPLAN Notices, 49:4, (427-440), Online publication date: 5-Apr-2014.
  120. ACM
    Honarmand N and Torrellas J (2014). RelaxReplay, ACM SIGPLAN Notices, 49:4, (223-238), Online publication date: 5-Apr-2014.
  121. ACM
    Lin F, Wang Z and Zhong L (2014). K2, ACM SIGPLAN Notices, 49:4, (285-300), Online publication date: 5-Apr-2014.
  122. ACM
    Hower D, Hechtman B, Beckmann B, Gaster B, Hill M, Reinhardt S and Wood D Heterogeneous-race-free memory models Proceedings of the 19th international conference on Architectural support for programming languages and operating systems, (427-440)
  123. ACM
    Honarmand N and Torrellas J RelaxReplay Proceedings of the 19th international conference on Architectural support for programming languages and operating systems, (223-238)
  124. ACM
    Lin F, Wang Z and Zhong L K2 Proceedings of the 19th international conference on Architectural support for programming languages and operating systems, (285-300)
  125. ACM
    Petrović D, Ropars T and Schiper A Leveraging hardware message passing for efficient thread synchronization Proceedings of the 19th ACM SIGPLAN symposium on Principles and practice of parallel programming, (143-154)
  126. ACM
    Li J, Shi L, Li Q, Xue C, Chen Y, Xu Y and Wang W (2013). Low-energy volatile STT-RAM cache design using cache-coherence-enabled adaptive refresh, ACM Transactions on Design Automation of Electronic Systems, 19:1, (1-23), Online publication date: 1-Dec-2013.
  127. ACM
    Lochbihler A (2014). Making the java memory model safe, ACM Transactions on Programming Languages and Systems, 35:4, (1-65), Online publication date: 1-Dec-2013.
  128. ACM
    Zhao H, Shriraman A, Kumar S and Dwarkadas S (2013). Protozoa, ACM SIGARCH Computer Architecture News, 41:3, (547-558), Online publication date: 26-Jun-2013.
  129. ACM
    Kaxiras S and Ros A (2013). A new perspective for efficient virtual-cache coherence, ACM SIGARCH Computer Architecture News, 41:3, (535-546), Online publication date: 26-Jun-2013.
  130. ACM
    Hechtman B and Sorin D (2013). Exploring memory consistency for massively-threaded throughput-oriented processors, ACM SIGARCH Computer Architecture News, 41:3, (201-212), Online publication date: 26-Jun-2013.
  131. ACM
    Rajaram B, Nagarajan V, Sarkar S and Elver M (2013). Fast RMWs for TSO, ACM SIGPLAN Notices, 48:6, (61-72), Online publication date: 23-Jun-2013.
  132. ACM
    Udupa A, Raghavan A, Deshmukh J, Mador-Haim S, Martin M and Alur R (2013). TRANSIT, ACM SIGPLAN Notices, 48:6, (287-296), Online publication date: 23-Jun-2013.
  133. ACM
    Zhao H, Shriraman A, Kumar S and Dwarkadas S Protozoa Proceedings of the 40th Annual International Symposium on Computer Architecture, (547-558)
  134. ACM
    Kaxiras S and Ros A A new perspective for efficient virtual-cache coherence Proceedings of the 40th Annual International Symposium on Computer Architecture, (535-546)
  135. ACM
    Hechtman B and Sorin D Exploring memory consistency for massively-threaded throughput-oriented processors Proceedings of the 40th Annual International Symposium on Computer Architecture, (201-212)
  136. ACM
    Rajaram B, Nagarajan V, Sarkar S and Elver M Fast RMWs for TSO Proceedings of the 34th ACM SIGPLAN Conference on Programming Language Design and Implementation, (61-72)
  137. ACM
    Udupa A, Raghavan A, Deshmukh J, Mador-Haim S, Martin M and Alur R TRANSIT Proceedings of the 34th ACM SIGPLAN Conference on Programming Language Design and Implementation, (287-296)
  138. ACM
    Sorensen T, Gopalakrishnan G and Grover V Towards shared memory consistency models for GPUs Proceedings of the 27th international ACM conference on International conference on supercomputing, (489-490)
  139. ACM
    Lin C, Nagarajan V and Gupta R Address-aware fences Proceedings of the 27th international ACM conference on International conference on supercomputing, (313-324)
  140. Li J, Shi L, Li Q, Xue C, Chen Y and Xu Y Cache coherence enabled adaptive refresh for volatile STT-RAM Proceedings of the Conference on Design, Automation and Test in Europe, (1247-1250)
  141. ACM
    Ros A and Kaxiras S Complexity-effective multicore coherence Proceedings of the 21st international conference on Parallel architectures and compilation techniques, (241-252)
  142. ACM
    Martin M, Hill M and Sorin D (2012). Why on-chip cache coherence is here to stay, Communications of the ACM, 55:7, (78-89), Online publication date: 1-Jul-2012.
  143. ACM
    Lin F, Wang Z, LiKamWa R and Zhong L (2012). Reflex, ACM SIGPLAN Notices, 47:4, (13-24), Online publication date: 1-Jun-2012.
  144. ACM
    Lin F, Wang Z, LiKamWa R and Zhong L (2012). Reflex, ACM SIGARCH Computer Architecture News, 40:1, (13-24), Online publication date: 18-Apr-2012.
  145. ACM
    Lin F, Wang Z, LiKamWa R and Zhong L Reflex Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems, (13-24)
Contributors
  • Duke University

Recommendations