skip to main content
Skip header Section
Specification and design of embedded systemsOctober 1994
Publisher:
  • Prentice-Hall, Inc.
  • Division of Simon and Schuster One Lake Street Upper Saddle River, NJ
  • United States
ISBN:978-0-13-150731-9
Published:01 October 1994
Pages:
450
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. Qin J, Liu Y and Grosvenor R Data analytics for energy consumption of digital manufacturing systems using Internet of Things method 2017 13th IEEE Conference on Automation Science and Engineering (CASE), (482-487)
  2. Milford M and McAllister J (2016). Constructive Synthesis of Memory-Intensive Accelerators for FPGA From Nested Loop Kernels, IEEE Transactions on Signal Processing, 64:16, (4152-4165), Online publication date: 15-Aug-2016.
  3. ACM
    Doligalski M Behavioral specification diversification for logic controllers implemented in FPGA devices Proceedings of the Annual FPGA Conference, (1-5)
  4. Trajkovic J, Abdi S, Nicolescu G and Gajski D (2012). Automated generation of custom processor core from C code, Journal of Electrical and Computer Engineering, 2012, (7-7), Online publication date: 1-Jan-2012.
  5. ACM
    Chandraiah P and Dömer R (2012). Computer-Aided Recoding to Create Structured and Analyzable System Models, ACM Transactions on Embedded Computing Systems, 11S:1, (1-27), Online publication date: 1-Jun-2012.
  6. ACM
    Panda B and Khilar P FPGA based implementation of parallel ECC processor Proceedings of the 2011 International Conference on Communication, Computing & Security, (453-456)
  7. Gajski D and Vahid F (1995). Specification and Design of Embedded Hardware-Software Systems, IEEE Design & Test, 12:1, (53-67), Online publication date: 1-Jan-2010.
  8. Boutekkouk F Rewriting logic based performance estimation of embedded systems Proceedings of the 17th international conference on Analytical and stochastic modeling techniques and applications, (117-129)
  9. Hao K and Xie F Componentizing hardware/software interface design Proceedings of the Conference on Design, Automation and Test in Europe, (232-237)
  10. Gerstlauer A, Haubelt C, Pimentel A, Stefanov T, Gajski D and Teich J (2009). Electronic system-level synthesis methodologies, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:10, (1517-1530), Online publication date: 1-Oct-2009.
  11. ACM
    Gerstlauer A, Peng J, Shin D, Gajski D, Nakamura A, Araki D and Nishihara Y Specify-explore-refine (SER) Proceedings of the 45th annual Design Automation Conference, (586-591)
  12. Luican I, Zhu H and Balasa F (2008). Computation of the minimum data storage and applications in memory management for multimedia signal processing, Integrated Computer-Aided Engineering, 15:2, (181-196), Online publication date: 1-Apr-2008.
  13. Dömer R, Gerstlauer A, Peng J, Shin D, Cai L, Yu H, Abdi S and Gajski D (2008). System-on-chip environment, EURASIP Journal on Embedded Systems, 2008, (1-13), Online publication date: 30-Jan-2008.
  14. ACM
    Oskuii S, Kjeldsberg P and Gustafsson O Transition-activity aware design of reduction-stages for parallel multipliers Proceedings of the 17th ACM Great Lakes symposium on VLSI, (120-125)
  15. ACM
    Stitt G and Vahid F (2008). Binary synthesis, ACM Transactions on Design Automation of Electronic Systems, 12:3, (1-30), Online publication date: 17-Aug-2007.
  16. Norell H, Lawal N and O'Nils M (2007). Automatic generation of spatial and temporal memory architectures for embedded video processing systems, EURASIP Journal on Embedded Systems, 2007:1, (32-32), Online publication date: 1-Jan-2007.
  17. Balasa F, Zhu H and Luican I (2007). Computation of storage requirements for multi-dimensional signal processing applications, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:4, (447-460), Online publication date: 1-Apr-2007.
  18. Kebemou A and Schieferdecker I Evaluating modeling solutions on their ability to support the partitioning of automotive embedded systems Proceedings of the 2007 conference on Emerging direction in embedded and ubiquitous computing, (674-685)
  19. Kebemou A and Schieferdecker I Evaluating Modeling Solutions on Their Ability to Support the Partitioning of Automotive Embedded Systems Emerging Directions in Embedded and Ubiquitous Computing, (674-685)
  20. ACM
    Sarrafzadeh M, Dabiri F, Jafari R, Massey T and Nahapetian A Low power light-weight embedded systems Proceedings of the 2006 international symposium on Low power electronics and design, (207-212)
  21. Ben Fradj H, Belleudy C and Auguin M System level multi-bank main memory configuration for energy reduction Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (84-94)
  22. ACM
    Mitra A, Lajolo M and Lahiri K SOFTENIT Proceedings of the 15th ACM Great Lakes symposium on VLSI, (361-366)
  23. ACM
    Edwards S (2005). Experiences teaching an FPGA-based embedded systems class, ACM SIGBED Review, 2:4, (56-62), Online publication date: 1-Oct-2005.
  24. Herrera F, Sánchez P and Villar E Modeling of CSP, KPN and SR systems with systemC Languages for system specification, (133-148)
  25. Blaurock O A SystemC-Based Modular Design and Verification Framework for C-Model Reuse in a HW/SW-Co-Design Flow Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7, (838-843)
  26. Voros N, Sánchez L, Alonso A, Birbas A, Birbas M and Jerraya A (2003). Hardware/Software Co-Design of Complex Embedded Systems, Design Automation for Embedded Systems, 8:1, (5-49), Online publication date: 1-Mar-2003.
  27. Lev-Ami T and Tyszberowicz S (2003). Reactive and Real-Time Systems Course, Real-Time Systems, 25:2-3, (231-253), Online publication date: 1-Sep-2003.
  28. Jumpamule W, Paillet J and Giambiasi N (2003). Using Simulation for the Validation of High Level Specifications of Control Systems, Journal of Intelligent and Robotic Systems, 38:3-4, (345-375), Online publication date: 1-Dec-2003.
  29. Andritsopoulos F, Charopoulos C, Doumenis G, Karoubalis F, Mitsos Y, Petreas F, Theologitou I, Perissakis S and Reisis D Verification of a Complex SoC Proceedings of the conference on Design, Automation and Test in Europe: Designers' Forum - Volume 2
  30. Giambiasi N, Paillet J and Châne F Simulation and verification II Proceedings of the 35th conference on Winter simulation: driving innovation, (923-931)
  31. Singh S System Level Specification in Lava Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  32. Talpin J, Guernic P, Shukla S, Gupta R and Doucet F Polychrony for Refinement-Based Design Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  33. Paillet J and Giambiasi N (2002). DECM, A User Oriented Formalism for High Level Discrete Event Specifications of Real-Time Systems, Journal of Intelligent and Robotic Systems, 34:1, (27-81), Online publication date: 1-May-2002.
  34. Schaumont P and Verbauwhede I (2002). Domain Specific Tools and Methods for Application in Security Processor Design, Design Automation for Embedded Systems, 7:4, (365-383), Online publication date: 1-Nov-2002.
  35. ACM
    Ragan D, Sandborn P and Stoaks P A detailed cost model for concurrent use with hardware/software co-design Proceedings of the 39th annual Design Automation Conference, (269-274)
  36. Fei Y and Jha N Functional Partitioning for Low Power Distributed Systems of Systems-on-a-chip Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  37. Schulz S, Rozenbilt J and Buchenrieder K (2002). Multilevel Testing for Design Verification of Embedded Systems, IEEE Design & Test, 19:2, (60-69), Online publication date: 1-Mar-2002.
  38. ACM
    Svarstad K, Ben-Fredj N, Nicolescu G and Jerraya A A higher level system communication model for object-oriented specification and design of embedded systems Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (69-77)
  39. ACM
    Kao P, Hsieh C and Wu A An RTL design-space exploration method for high-level applications Proceedings of the 2001 Asia and South Pacific Design Automation Conference, (162-168)
  40. ACM
    Hommais D, Pétrot F and Augé I A practical tool box for system level communication synthesis Proceedings of the ninth international symposium on Hardware/software codesign, (48-53)
  41. ACM
    Panda P, Semeria L and de Micheli G Cache-efficient memory layout of aggregate data structures Proceedings of the 14th international symposium on Systems synthesis, (101-106)
  42. Svarstad K, Nicolescu G and Jerraya A A model for describing communication between aggregate objects in the specification and design of embedded systems Proceedings of the conference on Design, automation and test in Europe, (77-85)
  43. Marculescu R and Nandi A Probabilistic application modeling for system-level perfromance analysis Proceedings of the conference on Design, automation and test in Europe, (572-579)
  44. McUmber W and Cheng B A general framework for formalizing UML with formal languages Proceedings of the 23rd International Conference on Software Engineering, (433-442)
  45. Danckaert K, Catthoor F and De Man H System level memory optimization for hardware-software co-design Readings in hardware/software co-design, (278-282)
  46. Hommais D, Pétrot F and Augé I A Tool Box to Map System Level Communications on HW/SW Architectures Proceedings of the 12th International Workshop on Rapid System Prototyping
  47. De Micheli G and Gupta R Hardware/software co-design Readings in hardware/software co-design, (30-44)
  48. Fernández L, Koch G, Madrid N, Vallejo M, Kloos C and Rosenstiel W Hardware-software prototyping from LOTOS Readings in hardware/software co-design, (590-605)
  49. Gajski D, Vahid F, Narayan S and Gong J SpecSyn Readings in hardware/software co-design, (108-124)
  50. ACM
    Lahiri K, Raghunathan A and Lakshminarayana G LOTTERYBUS Proceedings of the 38th annual Design Automation Conference, (15-20)
  51. ACM
    Kjeldsberg P, Catthoor F and Aas E Detection of partially simultaneously alive signals in storage requirement estimation for data intensive applications Proceedings of the 38th annual Design Automation Conference, (365-370)
  52. Jacome M and Peixoto H (2001). A Survey of Digital Design Reuse, IEEE Design & Test, 18:3, (98-107), Online publication date: 1-May-2001.
  53. Ernst R Codesign of embedded systems Readings in hardware/software co-design, (45-54)
  54. Vahid F and Gajski D Incremental hardware estimation during hardware/software functional partitioning Readings in hardware/software co-design, (516-521)
  55. ACM
    Jantsch A and Sander I On the roles of functions and objects in system specification Proceedings of the eighth international workshop on Hardware/software codesign, (8-12)
  56. ACM
    Kjeldsberg P, Catthoor F and Aas E Storage requirement estimation for data intensive applications with partially fixed execution ordering Proceedings of the eighth international workshop on Hardware/software codesign, (56-60)
  57. ACM
    Hu X and Quan G Fast performance prediction for periodic task systems Proceedings of the eighth international workshop on Hardware/software codesign, (72-76)
  58. ACM
    Lahiri K, Raghunathan A, Lakshminarayana G and Dey S Communication architecture tuners Proceedings of the 37th Annual Design Automation Conference, (513-518)
  59. ACM
    Bjuréus P and Jantsch A MASCOT Proceedings of the conference on Design, automation and test in Europe, (161-168)
  60. Muth A and Färber G SDL as a system level specification language for application-specific hardware in a rapid prototyping environment Proceedings of the 13th international symposium on System synthesis, (157-162)
  61. Kjeldsberg P, Catthoor F and Aas E Automated data dependency size estimation with a partially fixed execution ordering Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (44-50)
  62. Lahiri K, Raghunathan A and Dey S Efficient exploration of the SoC communication architecture design space Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, (424-430)
  63. Reducing Cost and Tolerating Defects in Page-based Intelligent Memory Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
  64. Multi-Level Communication Synthesis of Heterogeneous Multilanguage Specification Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
  65. Allara A, Bombana M, Fornaciari W and Salice F (2000). A Case Study in Design Space Exploration, IEEE Design & Test, 17:2, (60-72), Online publication date: 1-Apr-2000.
  66. ACM
    Benini L and Micheli G (2000). System-level power optimization, ACM Transactions on Design Automation of Electronic Systems, 5:2, (115-192), Online publication date: 1-Apr-2000.
  67. Bautista T and Núñez A Synthesis Experiments and Performance Metrics for Evaluating the Quality of IP Blocks and Megacells Proceedings of the 1st International Symposium on Quality of Electronic Design
  68. Dias O, Teixeira I and Teixeira J (1999). Metrics and Criteria for Quality Assessment of Testable Hw/Sw Systems Architectures, Journal of Electronic Testing: Theory and Applications, 14:1-2, (149-158), Online publication date: 1-Feb-1999.
  69. ACM
    Clouté F, Contensou J, Esteve D, Pampagnin P, Pons P and Favard Y Hardware/software co-design of an avionics communication protocol interface system Proceedings of the seventh international workshop on Hardware/software codesign, (48-52)
  70. ACM
    Wolff F, Knieser M, Weyer D and Papachristou C Using codesign techniques to support analog functionality Proceedings of the seventh international workshop on Hardware/software codesign, (79-84)
  71. ACM
    Hwang E, Vahid F and Hsu Y FSMD functional partitioning for low power Proceedings of the conference on Design, automation and test in Europe, (7-es)
  72. ACM
    Maestro J, Mozos D and Hermida R The heterogeneous structure problem in hardware/software codesign Proceedings of the conference on Design, automation and test in Europe, (25-es)
  73. ACM
    Dick R and Jha N MOCSYN Proceedings of the conference on Design, automation and test in Europe, (55-es)
  74. ACM
    Ochiai K, Iwasaki H, Naganuma J, Endo M and Ogura T High-speed software-based platform for embedded software of a single-chip MPEG-2 video encoder LSI with HDTV scalability Proceedings of the conference on Design, automation and test in Europe, (63-es)
  75. Oskin M, Hensley J, Keen D, Chong F, Farrens M and Chopra A Exploiting ILP in page-based intelligent memory Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture, (208-218)
  76. Verkest D, Da Silva J, Ykman C, Croes K, Miranda M, Wuytack S, Catthoor F, De Jong G and De Man H (1999). Matisse, Journal of VLSI Signal Processing Systems, 21:3, (185-194), Online publication date: 1-Jul-1999.
  77. Panda P Memory bank customization and assignment in behavioral synthesis Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (477-481)
  78. Lahiri K, Raghunathan A and Dey S Fast performance analysis of bus-based system-on-chip communication architectures Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (566-573)
  79. Poigné A, Morley M, Maffeïs O, Holenderski L and Budde R (1998). The Synchronous Approach to Designing Reactive Systems, Formal Methods in System Design, 12:2, (163-187), Online publication date: 1-Mar-1998.
  80. Oskin M, Chong F and Sherwood T Active pages Proceedings of the 25th annual international symposium on Computer architecture, (192-203)
  81. ACM
    Oskin M, Chong F and Sherwood T (1998). Active pages, ACM SIGARCH Computer Architecture News, 26:3, (192-203), Online publication date: 1-Jun-1998.
  82. ACM
    Dick R and Jha N CORDS Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (62-67)
  83. ACM
    Ortega R and Borriello G Communication synthesis for distributed embedded systems Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (437-444)
  84. Hollstein T, Becker J, Kirschbaum A and Glesner M HiPART Proceedings of the 6th international workshop on Hardware/software codesign, (29-33)
  85. Fleischmann J, Buchenrieder K and Kress R A hardware/software prototyping environment for dynamically reconfigurable embedded systems Proceedings of the 6th international workshop on Hardware/software codesign, (105-109)
  86. Catthoor F, Verkest D and Brockmeyer E Proposal for unified system design meta flow in task-level and instruction-level design technology research for multi-media applications Proceedings of the 11th international symposium on System synthesis, (89-95)
  87. Allara A, Fornaciari W, Salice F and Sciuto D A model for system-level timed analysis and profiling Proceedings of the conference on Design, automation and test in Europe, (204-210)
  88. Gerlach J and Rosenstiel W A scalable methodology for cost estimation in a transformational high-level design space exploration environment Proceedings of the conference on Design, automation and test in Europe, (226-233)
  89. Putzke-Röming W, Radetzki M and Nebel W A flexible message passing mechanism for objective VHDL Proceedings of the conference on Design, automation and test in Europe, (242-249)
  90. Öberg J, Kumar A and Hemani A Scheduling of outputs in grammar-based hardware synthesis of data communication protocols Proceedings of the conference on Design, automation and test in Europe, (596-603)
  91. Gajski D, Vahid F, Narayan S and Gong J (1998). SpecSyn, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 6:1, (84-100), Online publication date: 1-Mar-1998.
  92. ACM
    Gajski D, Vahid F, Narayan S and Gong J System-level exploration with SpecSyn Proceedings of the 35th annual Design Automation Conference, (812-817)
  93. ACM
    Young J, MacDonald J, Shilman M, Tabbara A, Hilfinger P and Newton A Design and specification of embedded systems in Java using successive, formal refinement Proceedings of the 35th annual Design Automation Conference, (70-75)
  94. ACM
    Karkowski I and Corporaal H Design space exploration algorithm for heterogeneous multi-processor embedded system design Proceedings of the 35th annual Design Automation Conference, (82-87)
  95. Ernst R (1998). Codesign of Embedded Systems, IEEE Design & Test, 15:2, (45-54), Online publication date: 1-Apr-1998.
  96. ACM
    de Veciana G, Jacome M and Guo J Hierarchical algorithms for assessing probabilistic constraints on system performance Proceedings of the 35th annual Design Automation Conference, (251-256)
  97. Niemann R and Marwedel P (1997). An Algorithm for Hardware/Software Partitioning Using Mixed Integer Linear Programming, Design Automation for Embedded Systems, 2:2, (165-193), Online publication date: 1-Mar-1997.
  98. Vahid F and Le T (1997). Extending the Kernighan/Lin Heuristic for Hardware and Software Functional Partitioning, Design Automation for Embedded Systems, 2:2, (237-261), Online publication date: 1-Mar-1997.
  99. Vercauteren S and Lin B (1997). Hardware/Software Communication and System Integration for Embedded Architectures, Design Automation for Embedded Systems, 2:3-4, (359-382), Online publication date: 1-May-1997.
  100. ACM
    Vahid F I/O and performance tradeoffs with the FunctionBus during multi-FPGA partitioning Proceedings of the 1997 ACM fifth international symposium on Field-programmable gate arrays, (27-34)
  101. Vahid F Port calling Proceedings of the 10th international symposium on System synthesis, (107-112)
  102. Bakshi S and Gajski D A scheduling and pipelining algorithm for hardware/software systems Proceedings of the 10th international symposium on System synthesis, (113-118)
  103. Li J and Gupta R Decomposition of timed decision tables and its use in presynthesis optimizations Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (22-27)
  104. Marchioro G, Daveau J and Jerraya A Transformational partitioning for co-design of multiprocessor systems Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (508-515)
  105. Helaihel R and Olukotun K Java as a specification language for hardware-software systems Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (690-697)
  106. Vahid F Procedure cloning Proceedings of the 1997 European conference on Design and Test
  107. Ortega R and Borriello G Communication Synthesis for Embedded Systems with Global Considerations Proceedings of the 5th International Workshop on Hardware/Software Co-Design
  108. Vahid F Modifying Min-Cut for Hardware and Software Functional Partitioning Proceedings of the 5th International Workshop on Hardware/Software Co-Design
  109. Calvez J, Pasquier O and Peckol J Software Implementation Techniques for Hw/Sw Embedded Systems Proceedings of the 5th International Workshop on Hardware/Software Co-Design
  110. Danckaert K, Catthoor F and Hugo de Man System level memory optimization for hardware-software co-design Proceedings of the 5th International Workshop on Hardware/Software Co-Design
  111. ACM
    Agrawal S and Gupta R Data-flow assisted behavioral partitioning for embedded systems Proceedings of the 34th annual Design Automation Conference, (709-712)
  112. ACM
    Bakshi S and Gajski D Hardware/software partitioning and pipelining Proceedings of the 34th annual Design Automation Conference, (713-716)
  113. Peixoto H and Jacome M Algorithm and architecture-level design space exploration using hierarchical data flows Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
  114. ACM
    Henkel J and Ernst R A hardware/software partitioner using a dynamically determined granularity Proceedings of the 34th annual Design Automation Conference, (691-696)
  115. Calvez J (1996). A CoDesign case study with the MCSE methodology, Design Automation for Embedded Systems, 1:3, (183-212), Online publication date: 1-Jul-1996.
  116. ACM
    Adams J and Thomas D The design of mixed hardware/software systems Proceedings of the 33rd annual Design Automation Conference, (515-520)
  117. ACM
    Vercauteren S, Lin B and De Man H Constructing application-specific heterogeneous embedded architectures from custom HW/SW applications Proceedings of the 33rd annual Design Automation Conference, (521-526)
  118. Sheliga M and Hsing-Mean Sha E (1996). Hardware/Software co-design with the HMS framework, Journal of VLSI Signal Processing Systems, 13:1, (37-56), Online publication date: 1-Aug-1996.
  119. Narayan S and Gajski D Rapid performance estimation for system design Proceedings of the conference on European design automation, (206-211)
  120. Van Rompaey K, Bolsens I, De Man H and Verkest D CoWare—a design environment for heterogenous hardware/software systems Proceedings of the conference on European design automation, (252-257)
  121. Baker W and Newton A The maximal VHDL subset with a cycle-level abstraction Proceedings of the conference on European design automation, (470-475)
  122. Schneider C and Ecker W Stepwise refinement of behavioral VHDL specifications by separation of synchronization and functionality Proceedings of the conference on European design automation, (509-514)
  123. Vahid , Le and Hsu A Comparison of Functional and Structural Partitioning Proceedings of the 9th international symposium on System synthesis
  124. Blythe S and Walker R Toward a Practical Methodology for Completely Characterizing the Optimal Design Space Proceedings of the 9th international symposium on System synthesis
  125. OEberg J, Kumar A and Royal A Grammar-based Hardware Synthesis of Data Communication Protocols Proceedings of the 9th international symposium on System synthesis
  126. Sriram A and Kurdahi F Behavioral Modeling of an ATM Switch using SpecCharts Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
  127. Gupta R Operation Serializability for Embedded Systems Proceedings of the 1996 European conference on Design and Test
  128. Shin Y and Choi K Thread-based software synthesis for embedded system design Proceedings of the 1996 European conference on Design and Test
  129. Gong J, Gajski D and Bakshi S Model Refinement for Hardware-Software Codesign Proceedings of the 1996 European conference on Design and Test
  130. Calvez J, Heller D and Pasquier O Uninterpreted Co-Simulation for Performance Evaluation of Hw/Sw Systems Proceedings of the 4th International Workshop on Hardware/Software Co-Design
  131. Vahid F and Le T Towards a Model for Hardware and Software Functional Partitioning Proceedings of the 4th International Workshop on Hardware/Software Co-Design
  132. Lin B, Vercauteren S and Imec H Embedded Architecture Co-Synthesis and System Integration Proceedings of the 4th International Workshop on Hardware/Software Co-Design
  133. Sheliga M, Passos N and S' ha E Fully Parallel Hardware/Software Codesign for Multi-Dimensional DSP Applications Proceedings of the 4th International Workshop on Hardware/Software Co-Design
  134. Calha M, Teixeira J and Teixeira I HW/SW specification using OOM techniques Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96)
  135. ACM
    Vahid F and Gajski D Clustering for improved system-level functional partitioning Proceedings of the 8th international symposium on System synthesis, (28-35)
  136. ACM
    Panda P and Dutt N 1995 high level synthesis design repository Proceedings of the 8th international symposium on System synthesis, (170-174)
  137. Conradi P Information model of a compound graph representation for system and architecture level design Proceedings of the conference on European design automation, (22-27)
  138. Vahid F and Gajski D Closeness metrics for system-level functional partitioning Proceedings of the conference on European design automation, (328-333)
  139. Ecker W Semi-dynamic scheduling of synchronization-mechanisms Proceedings of the conference on European design automation, (374-379)
  140. Schumacher G and Nebel W Inheritance concept for signals in object-oriented extensions to VHDL Proceedings of the conference on European design automation, (428-435)
  141. Vahid F Procedure exlining Proceedings of the conference on European design automation, (508-513)
  142. Vahid F and Gajski D SLIF Proceedings of the 1995 European conference on Design and Test
  143. Ramachandran L, Gajski D, Narayan S, Vahid F and Fung P 100-hour design cycle Proceedings of the conference on European design automation, (144-149)
Contributors
  • Samueli School of Engineering
  • University of California, Riverside
  • Cadence Design Systems
  • Tsinghua University

Recommendations

Reviews

Festus Gail Gray

State-of-the-art techniques for system-level design methodologies are summarized in this excellent book. The audience includes system design engineers and managers, CAD tool developers, and university faculty and students. The VHDL user community should find this book useful. As the later stages of design have become more automated, designers have begun to focus more effort on the earlier stages of design. Whereas traditional design methodology has been based on the “describe and synthesize” paradigm, the authors propose a new design paradigm based on formal, verifiable system specifications followed by automated or semi-automated synthesis into lower representation levels. Although this book concentrates on embedded systems (systems in which the behavior is completely defined in terms of the system's interaction with its environment), the philosophy could be extended to more general systems. The authors claim that specifications in natural languages, such as English, are ambiguous and incomplete. I concur. Toward the development of an unambiguous and complete high-level specification, the book begins by separating the concepts of a model (a means of specifying the functionality of the system) and its implementation in a simulatable language. This section is thorough and complete. It covers state-oriented models (such as finite state machines), activity-oriented models (such as dataflow graphs), structure-oriented models (such as block diagrams), data-oriented models (such as the entity-relationship model), and heterogeneous models (such as object-oriented models). After a model is selected, the next step is to create an executable specification for the model using a programming language. To enhance automation, it is desirable to have a one-to-one correspondence between model features and language constructs. If a language contains a single construct that implements a feature, the language is said to directly support that feature. The section that analyzes the characteristics of models and examines features of common languages that directly support these characteristics is one of the best that I have seen. Desirable model features include concurrency, state transitions, hierarchy, sequential behavior, behavior completion, communications, synchronization, exception handling, and nondeterminism. After showing that most commonly used languages (including the very high-speed integrated circuit hardware description language VHDL, Verilog, CSP, and Statecharts) fail to directly support one or more of the desirable features, the authors argue that embedded systems do not need communications, synchronization, or nondeterminism. Still, traditional languages do not meet all of the remaining goals. For example, VHDL does not directly support state transitions or exception handling and is weak on behavior hierarchy. Following this discussion, the authors describe an extension of VHDL, SpecCharts, that meets all of the desirable features for embedded systems. A later chapter shows how to use SpecCharts as a front-end language that is translated into VHDL. The book proposes a system design methodology that starts with an executable functional specification at the highest level. System design consists of three well-defined tasks (allocation, partitioning, and refinement) performed on three classes of objects (variables, behaviors, and channels). Allocation defines system components for the functional specification. Partitioning assigns functional objects to allocated components. Refinement upgrades the original partitioning. Separate chapters are devoted to partitioning and refinement. Conspicuously absent is a chapter on allocation algorithms. Everyone involved with system design will find something useful in this book. The discussion of model features and language constructs that support the features alone is well worth the price of the book. I highly recommend reading it.

Access critical reviews of Computing literature here

Become a reviewer for Computing Reviews.