skip to main content
Skip header Section
CMOS VLSI Design: A Circuits and Systems PerspectiveMarch 2010
Publisher:
  • Addison-Wesley Publishing Company
  • United States
ISBN:978-0-321-54774-3
Published:11 March 2010
Pages:
864
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

For both introductory and advanced courses in VLSI design, this authoritative, comprehensive textbook is highly accessible to beginners, yet offers unparalleled breadth and depth for more experienced readers. The Fourth Edition of CMOS VLSI Design: A Circuits and Systems perspective presents broad and in-depth coverage of the entire field of modern CMOS VLSI Design. The authors draw upon extensive industry and classroom experience to introduce todays most advanced and effective chip design practices. They present extensively updated coverage of every key element of VLSI design, and illuminate the latest design challenges with 65 nm process examples. This book contains unsurpassed circuit-level coverage, as well as a rich set of problems and worked examples that provide deep practical insight to readers at all levels.

Cited By

  1. ACM
    Gartmann A and Müller M Using Read-After-Read Dependencies to Control Task-Granularity Proceedings of the Platform for Advanced Scientific Computing Conference, (1-12)
  2. Maleknejad M, Sharifi F and Sharifi H (2024). A fast and energy-efficient hybrid 4–2 compressor for multiplication in nanotechnology, The Journal of Supercomputing, 80:8, (11066-11088), Online publication date: 1-May-2024.
  3. Klemmer L and Große D Towards a Highly Interactive Design-Debug-Verification Cycle Proceedings of the 29th Asia and South Pacific Design Automation Conference, (692-697)
  4. Iqbal A, Daimi S and Chari K (2023). Performance Efficient and Fault Tolerant Approximate Adder, Journal of Electronic Testing: Theory and Applications, 39:5-6, (571-582), Online publication date: 1-Dec-2023.
  5. ACM
    Serafin N, Ghosh S, Desai H, Beckmann N and Lucia B Pipestitch: An energy-minimal dataflow architecture with lightweight threads Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, (1409-1422)
  6. Khan A, Chakraborty A, Joy U, Wairya S and Hasan M (2023). Carry look-ahead and ripple carry method based 4-bit carry generator circuit for implementing wide-word length adder, Microelectronics Journal, 140:C, Online publication date: 1-Oct-2023.
  7. Ahmadi F, Semati M, Daryanavard H and Minaeifar A (2023). Energy-efficient approximate full adders for error-tolerant applications, Computers and Electrical Engineering, 110:C, Online publication date: 1-Sep-2023.
  8. ACM
    Darvish Rouhani B, Zhao R, Elango V, Shafipour R, Hall M, Mesmakhosroshahi M, More A, Melnick L, Golub M, Varatkar G, Shao L, Kolhe G, Melts D, Klar J, L'Heureux R, Perry M, Burger D, Chung E, Deng Z, Naghshineh S, Park J and Naumov M With Shared Microexponents, A Little Shifting Goes a Long Way Proceedings of the 50th Annual International Symposium on Computer Architecture, (1-13)
  9. Gandhi J, Shekhawat D, Santosh M and Pandey J (2023). Logic locking for IP security, Computers and Security, 129:C, Online publication date: 1-Jun-2023.
  10. Kanojia A, Agrawal S and Lorenzo R (2023). Comprehensive Analysis of a Power-Efficient 1-Bit Hybrid Full Adder Cell, Wireless Personal Communications: An International Journal, 129:2, (1097-1111), Online publication date: 1-Mar-2023.
  11. Rafiee M, Shiri N, Sadeghi A, Darabi A and Abiri E (2022). Low-Power and Fast-Swing-Restoration GDI-Based Magnitude Comparator for Digital Images Processing, Circuits, Systems, and Signal Processing, 41:9, (4848-4885), Online publication date: 1-Sep-2022.
  12. Leavline E and Sujitha S (2022). Design of FinFET based low power, high speed hybrid decoder for SRAM, Microelectronics Journal, 126:C, Online publication date: 1-Aug-2022.
  13. Joshi R, Zaman M and Katkoori S (2022). Fast Sobel Edge Detection for IoT Edge Devices, SN Computer Science, 3:4, Online publication date: 11-Jun-2022.
  14. Arjmandian M, Karamitaheri H and Alidash H (2022). Zigzag graphene nanoribbon antidot lattice for local interconnect applications: a precise computational method, Journal of Computational Electronics, 21:2, (411-421), Online publication date: 1-Apr-2022.
  15. Tran V, Trinh Q and Hoang V (2022). A robust Euclidean metric based ID extraction method using RO-PUFs in FPGA, Integration, the VLSI Journal, 82:C, (37-47), Online publication date: 1-Jan-2022.
  16. Karamimanesh M, Abiri E, Hassanli K, Salehi M and Darabi A (2021). A robust and write bit-line free sub-threshold 12T-SRAM for ultra low power applications in 14 nm FinFET technology, Microelectronics Journal, 118:C, Online publication date: 1-Dec-2021.
  17. ACM
    Taka E, Maragos K, Lentaris G and Soudris D (2021). Process Variability Analysis in Interconnect, Logic, and Arithmetic Blocks of 16-nm FinFET FPGAs, ACM Transactions on Reconfigurable Technology and Systems, 14:3, (1-30), Online publication date: 30-Sep-2021.
  18. Zhang B, Cheng Z and Pedram M A high-performance low-power barrett modular multiplier for cryptosystems Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design, (1-6)
  19. Toms W, Goodacre J and Luján M Energy Efficient Power-Management for Out-of-Order Processors Using Cyclic Power-Gating Architecture of Computing Systems, (183-198)
  20. Peng S, Demircan E, Shroff M and Tan S (2020). Full-chip wire-oriented back-end-of-line TDDB hotspot detection and lifetime analysis, Integration, the VLSI Journal, 70:C, (90-98), Online publication date: 1-Jan-2020.
  21. Andrikos C, Batina L, Chmielewski L, Lerman L, Mavroudis V, Papagiannopoulos K, Perin G, Rassias G and Sonnino A Location, Location, Location: Revisiting Modeling and Exploitation for Location-Based Side Channel Leakages Advances in Cryptology – ASIACRYPT 2019, (285-314)
  22. Mewada M, Zaveri M and Thakker R (2019). Improving the performance of transmission gate and hybrid CMOS Full Adders in chain and tree structure architectures, Integration, the VLSI Journal, 69:C, (381-392), Online publication date: 1-Nov-2019.
  23. Sanvale P, Gupta N, Neema V, Shah A and Vishvakarma S (2019). An improved read-assist energy efficient single ended P-P-N based 10T SRAM cell for wireless sensor network, Microelectronics Journal, 92:C, Online publication date: 1-Oct-2019.
  24. Verma P, Sharma A, Noor A, Mishra A and Pandey V (2019). A novel approach for noise tolerant energy efficient TSPC dynamic circuit design, Analog Integrated Circuits and Signal Processing, 100:1, (119-131), Online publication date: 1-Jul-2019.
  25. ACM
    Wallat S, Albartus N, Becker S, Hoffmann M, Ender M, Fyrbiak M, Drees A, Maaßen S and Paar C Highway to HAL Proceedings of the 16th ACM International Conference on Computing Frontiers, (392-397)
  26. Dutt S, Dash S, Nandi S and Trivedi G (2019). Analysis, Modeling and Optimization of Equal Segment Based Approximate Adders, IEEE Transactions on Computers, 68:3, (314-330), Online publication date: 1-Mar-2019.
  27. ACM
    Wiesen C, Albartus N, Hoffmann M, Becker S, Wallat S, Fyrbiak M, Rummel N and Paar C Towards cognitive obfuscation Proceedings of the 24th Asia and South Pacific Design Automation Conference, (104-111)
  28. Vaz P, Both T, Vidor F, Brum R and Wirth G (2018). Design Flow Methodology for Radiation Hardened by Design CMOS Enclosed-Layout-Transistor-Based Standard-Cell Library, Journal of Electronic Testing: Theory and Applications, 34:6, (735-747), Online publication date: 1-Dec-2018.
  29. García-Martín E, Lavesson N, Grahn H, Casalicchio E and Boeva V How to Measure Energy Consumption in Machine Learning Algorithms ECML PKDD 2018 Workshops, (243-255)
  30. ACM
    Wang C, Sun Y, Hu S, Jiang L and Qian W (2018). Variation-Aware Global Placement for Improving Timing-Yield of Carbon-Nanotube Field Effect Transistor Circuit, ACM Transactions on Design Automation of Electronic Systems, 23:4, (1-27), Online publication date: 20-Jul-2018.
  31. Thibeault C and Gagnon G (2018). On the Analysis and the Mitigation of Power Supply Noise and Power Distribution Network Impedance Variation for Scan-Based Delay Testing Techniques, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26:7, (1377-1390), Online publication date: 1-Jul-2018.
  32. Okuhara H, Ben Ahmed A, Kuhn J and Amano H (2018). Asymmetric Body Bias Control With Low-Power FD-SOI Technologies, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26:7, (1254-1267), Online publication date: 1-Jul-2018.
  33. Leon V, Zervakis G, Xydis S, Soudris D and Pekmestzi K (2018). Walking through the Energy-Error Pareto Frontier of Approximate Multipliers, IEEE Micro, 38:4, (40-49), Online publication date: 1-Jul-2018.
  34. Eeckhout L (2018). Approximate Computing, Intelligent Computing, IEEE Micro, 38:4, (6-7), Online publication date: 1-Jul-2018.
  35. Rozanov V, Sheynin Y and Suvorova E Redundant Hardware Components for ASIC. RTL Model and Synthesys Proceedings of the 22st Conference of Open Innovations Association FRUCT, (378-384)
  36. ACM
    Dutt S, Nandi S and Trivedi G (2017). Analysis and Design of Adders for Approximate Computing, ACM Transactions on Embedded Computing Systems, 17:2, (1-28), Online publication date: 31-Mar-2018.
  37. ACM
    Khan F and Ye A (2018). An Evaluation on the Accuracy of the Minimum-Width Transistor Area Models in Ranking the Layout Area of FPGA Architectures, ACM Transactions on Reconfigurable Technology and Systems, 11:1, (1-23), Online publication date: 22-Mar-2018.
  38. Leon V, Zervakis G, Soudris D and Pekmestzi K (2018). Approximate Hybrid High Radix Encoding for Energy-Efficient Inexact Multipliers, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26:3, (421-430), Online publication date: 1-Mar-2018.
  39. Wang W, Cui A, Qu G and Li H A low-overhead PUF based on parallel scan design Proceedings of the 23rd Asia and South Pacific Design Automation Conference, (715-720)
  40. Wang W, Cui A, Qu G and Li H A low-overhead PUF based on parallel scan design 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), (715-720)
  41. Singh K, Jain A, Mittal A, Yadav V, Singh A, Jain A and Gupta M (2018). Optimum transistor sizing of CMOS logic circuits using logical effort theory and evolutionary algorithms, Integration, the VLSI Journal, 60:C, (25-38), Online publication date: 1-Jan-2018.
  42. ACM
    Ding C, Liao S, Wang Y, Li Z, Liu N, Zhuo Y, Wang C, Qian X, Bai Y, Yuan G, Ma X, Zhang Y, Tang J, Qiu Q, Lin X and Yuan B CirCNN Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, (395-408)
  43. ACM
    Siddique N and Badawy A SprBlk cache Proceedings of the International Symposium on Memory Systems, (130-140)
  44. ACM
    Silva A and Sill F Mitigation of aging effects through selective time-borrowing and alternative path activation Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, (210-216)
  45. Blohoubek J, Fier P and Schmidt J (2017). Error masking method based on the short-duration offline test, Microprocessors & Microsystems, 52:C, (236-250), Online publication date: 1-Jul-2017.
  46. Khan F and Ye A (2017). A study on the accuracy of minimum width transistor area in estimating FPGA layout area, Microprocessors & Microsystems, 52:C, (287-298), Online publication date: 1-Jul-2017.
  47. Tatas K and Chrysostomou C (2017). Hardware implementation of dynamic fuzzy logic based routing in Network-on-Chip, Microprocessors & Microsystems, 52:C, (80-88), Online publication date: 1-Jul-2017.
  48. ACM
    Kulkarni N, Dengi A and Vrudhula S A Clock Skewing Strategy to Reduce Power and Area of ASIC Circuits Proceedings of the 54th Annual Design Automation Conference 2017, (1-6)
  49. Samadi H, Shahhoseini A and Aghaei-liavali F (2017). A new method on designing and simulating CNTFET_based ternary gates and arithmetic circuits, Microelectronics Journal, 63:C, (41-48), Online publication date: 1-May-2017.
  50. ACM
    Sivaraman V, Narayana S, Rottenstreich O, Muthukrishnan S and Rexford J Heavy-Hitter Detection Entirely in the Data Plane Proceedings of the Symposium on SDN Research, (164-176)
  51. Okuhara H, Fujita Y, Usami K and Amano H (2017). Power Optimization Methodology for Ultralow Power Microcontroller With Silicon on Thin BOX MOSFET, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25:4, (1578-1582), Online publication date: 1-Apr-2017.
  52. Shahsavani S, Shafaei A, Nazarian S and Pedram M A thermally-aware energy minimization methodology for global interconnects Proceedings of the Conference on Design, Automation & Test in Europe, (1213-1218)
  53. Alioto M Energy-quality scalable adaptive VLSI circuits and systems beyond approximate computing Proceedings of the Conference on Design, Automation & Test in Europe, (127-132)
  54. Wang Q, Li Y, Shao B, Dey S and Li P (2017). Energy efficient parallel neuromorphic architectures with approximate arithmetic on FPGA, Neurocomputing, 221:C, (146-158), Online publication date: 19-Jan-2017.
  55. Bajpai P, Pandey N, Gupta K, Bagga S, Panda J and Suhir E (2017). On Improving the Performance of Dynamic DCVSL Circuits, Journal of Electrical and Computer Engineering, 2017, Online publication date: 1-Jan-2017.
  56. Schöne R, Ilsche T, Bielert M, Molka D and Hackenberg D Software controlled clock modulation for energy efficiency optimization on Intel processors Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, (69-76)
  57. Mrazek V, Sarwar S, Sekanina L, Vasicek Z and Roy K Design of power-efficient approximate multipliers for approximate artificial neural networks 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), (1-7)
  58. Rajamanikkam C, Rajesh J, Chakraborty K and Roy S BoostNoC: Power efficient network-on-chip architecture for near threshold computing 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), (1-8)
  59. Kawar S, Abugharbieh K, Al-Akel W and Mohammed M (2016). A 10Gbps differential low-power loss of signal detector for AC-coupled serial transceivers in 28nm CMOS technology, Microelectronics Journal, 56:C, (65-73), Online publication date: 1-Oct-2016.
  60. Shabbir Z, Ghumman A and Chaudhry S (2016). A Reduced-sp-D3Lsum Adder-Based High Frequency 4×4 Bit Multiplier Using Dadda Algorithm, Circuits, Systems, and Signal Processing, 35:9, (3113-3134), Online publication date: 1-Sep-2016.
  61. Guimarães M and Torres F Automatic layout integration of bulk built-in current sensors for detection of soft errors Proceedings of the 29th Symposium on Integrated Circuits and Systems Design: Chip on the Mountains, (1-6)
  62. Shrestha R and Paily R (2016). Memory-Reduced Maximum A Posteriori Probability Decoding for High-Throughput Parallel Turbo Decoders, Circuits, Systems, and Signal Processing, 35:8, (2832-2854), Online publication date: 1-Aug-2016.
  63. ACM
    Grailoo M, Joshi A, Mulkalapally M and Nikoubin T Power and Energy Efficient Standard Cells with CDM Logic Style for Optimization of Multiplier Structures Proceedings of the 7th International Conference on Computing Communication and Networking Technologies, (1-5)
  64. ACM
    Basu P, Chen H, Saha S, Chakraborty K and Roy S SwiftGPU Proceedings of the 53rd Annual Design Automation Conference, (1-6)
  65. Sharroush S (2016). Performance optimization of 1T-1C DRAMs, Microelectronics Journal, 52:C, (147-164), Online publication date: 1-Jun-2016.
  66. Riera M, Canal R, Abella J and Gonzalez A A detailed methodology to compute soft error rates in advanced technologies Proceedings of the 2016 Conference on Design, Automation & Test in Europe, (217-222)
  67. Tsoumanis K, Axelos N, Moschopoulos N, Zervakis G and Pekmestzi K (2016). Pre-Encoded Multipliers Based on Non-Redundant Radix-4 Signed-Digit Encoding, IEEE Transactions on Computers, 65:2, (670-676), Online publication date: 1-Feb-2016.
  68. ACM
    Choi J, Shin W, Jang J, Suh J, Kwon Y, Moon Y and Kim L (2015). Multiple clone row DRAM, ACM SIGARCH Computer Architecture News, 43:3S, (223-234), Online publication date: 4-Jan-2016.
  69. Tsoumanis K, Xydis S, Zervakis G and Pekmestzi K (2015). Flexible DSP Accelerator Architecture Exploiting Carry-Save Arithmetic, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24:1, (368-372), Online publication date: 1-Jan-2016.
  70. Riahi Alam M, Ersali Salehi Nasab M and Fakhraie S (2015). Power Efficient High-Level Synthesis by Centralized and Fine-Grained Clock Gating, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34:12, (1954-1963), Online publication date: 1-Dec-2015.
  71. Bhadauria S and Sengupta A (2015). Adaptive bacterial foraging driven datapath optimization, Applied Mathematics and Computation, 269:C, (265-278), Online publication date: 15-Oct-2015.
  72. ACM
    Gottscho M, BanaiyanMofrad A, Dutt N, Nicolau A and Gupta P (2015). DPCS, ACM Transactions on Architecture and Code Optimization, 12:3, (1-26), Online publication date: 6-Oct-2015.
  73. ACM
    De V, Kahng A, Karnik T, Liu B, Maleki M and Wang L (2015). Application-Specific Cross-Layer Optimization Based on Predictive Variable-Latency VLSI Design, ACM Journal on Emerging Technologies in Computing Systems, 12:3, (1-19), Online publication date: 21-Sep-2015.
  74. ACM
    Zhao C, Wysocki B, Liu Y, Thiem C, McDonald N and Yi Y (2015). Spike-Time-Dependent Encoding for Neuromorphic Processors, ACM Journal on Emerging Technologies in Computing Systems, 12:3, (1-21), Online publication date: 21-Sep-2015.
  75. ACM
    Capovilla J, Cortes M and Araujo G Improving the Statistical Variability of Delay-based Physical Unclonable Functions Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, (1-7)
  76. Shupeng Sun , Xin Li , Hongzhou Liu , Kangsheng Luo and Ben Gu (2015). Fast Statistical Analysis of Rare Circuit Failure Events via Scaled-Sigma Sampling for High-Dimensional Variation Space, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34:7, (1096-1109), Online publication date: 1-Jul-2015.
  77. ACM
    Srivastav M, Ehteshamuddin M, Stegner K and Nazhandali L (2015). Design of Ultra-Low Power Scalable-Throughput Many-Core DSP Applications, ACM Transactions on Design Automation of Electronic Systems, 20:3, (1-21), Online publication date: 24-Jun-2015.
  78. ACM
    Said M, Mehdipour F, Murakami K and El-Sayed M A Design Methodology for Performance Maintenance of 3D Network-on-Chip with Multiplexed Through-Silicon Vias Proceedings of the 3rd International Workshop on Many-core Embedded Systems, (9-16)
  79. ACM
    Choi J, Shin W, Jang J, Suh J, Kwon Y, Moon Y and Kim L Multiple clone row DRAM Proceedings of the 42nd Annual International Symposium on Computer Architecture, (223-234)
  80. ACM
    Chen H, Manzi D, Roy S and Chakraborty K Opportunistic turbo execution in NTC Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  81. ACM
    Chung J, Ramclam K, Park J and Ghosh S Domain wall memory based digital signal processors for area and energy-efficiency Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  82. Mahmood T, Seokin Hong and Soontae Kim (2015). Ensuring Cache Reliability and Energy Scaling at Near-Threshold Voltage With Macho, IEEE Transactions on Computers, 64:6, (1694-1706), Online publication date: 1-Jun-2015.
  83. ACM
    Mathew J, Chakraborty R, Sahoo D, Yang Y and Pradhan D (2015). A Novel Memristor-Based Hardware Security Primitive, ACM Transactions on Embedded Computing Systems, 14:3, (1-20), Online publication date: 21-May-2015.
  84. ACM
    Oostindie M, Zelnik C and Berkens M Design Rule Management and its Applications in 15nm FreePDK Technology Proceedings of the 2015 Symposium on International Symposium on Physical Design, (179-183)
  85. Antoniadis C, Karakonstantis G, Evmorfopoulos N, Burg A and Stamoulis G On the statistical memory architecture exploration and optimization Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, (543-548)
  86. Oboril F, Bishnoi R, Ebrahimi M and Tahoori M (2015). Evaluation of Hybrid Memory Technologies Using SOT-MRAM for On-Chip Cache Hierarchy, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34:3, (367-380), Online publication date: 1-Mar-2015.
  87. ACM
    Rahmanikia N, Amiri A, Noori H and Mehdipour F Exploring Efficiency of Ring Oscillator-Based Temperature Sensor Networks on FPGAs (Abstract Only) Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, (264-264)
  88. Wimer S and Stanislavsky A (2015). Energy efficient hybrid adder architecture, Integration, the VLSI Journal, 48:C, (109-115), Online publication date: 1-Jan-2015.
  89. ACM
    Huang H and Densmore D (2014). Fluigi, ACM Journal on Emerging Technologies in Computing Systems, 11:3, (1-19), Online publication date: 30-Dec-2015.
  90. Shioya R, Goshima M and Ando H A Front-end Execution Architecture for High Energy Efficiency Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, (419-431)
  91. ACM
    Guimbretiére F, Liu S, Wang H and Manohar R (2014). An asymmetric dual-processor architecture for low-power information appliances, ACM Transactions on Embedded Computing Systems, 13:4, (1-19), Online publication date: 5-Dec-2014.
  92. ACM
    Kammerstetter M, Muellner M, Burian D, Platzer C and Kastner W Breaking Integrated Circuit Device Security through Test Mode Silicon Reverse Engineering Proceedings of the 2014 ACM SIGSAC Conference on Computer and Communications Security, (549-557)
  93. ACM
    Zhang R, Wang K, Meyer B, Stan M and Skadron K (2014). Architecture implications of pads as a scarce resource, ACM SIGARCH Computer Architecture News, 42:3, (373-384), Online publication date: 16-Oct-2014.
  94. ACM
    Simopoulos T, Haniotakis T and Alexiou G Implementation of a Low Leakage Standard Cell Library based on materials from UMC 65nm technology Proceedings of the 18th Panhellenic Conference on Informatics, (1-2)
  95. ACM
    Alioto M and Esseni D Performance and Impact of Process Variations in Tunnel-FET Ultra-Low Voltage Digital Circuits Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, (1-6)
  96. ACM
    Viera R, Prior C, de La Cruz J and Martins J System-Level Design of a Reconfigurable CT SD Modulator for Multi-Standard Wireless Applications Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, (1-6)
  97. Zhang R, Wang K, Meyer B, Stan M and Skadron K Architecture implications of pads as a scarce resource Proceeding of the 41st annual international symposium on Computer architecuture, (373-384)
  98. ACM
    Luu J, Goeders J, Wainberg M, Somerville A, Yu T, Nasartschuk K, Nasr M, Wang S, Liu T, Ahmed N, Kent K, Anderson J, Rose J and Betz V (2014). VTR 7.0, ACM Transactions on Reconfigurable Technology and Systems, 7:2, (1-30), Online publication date: 1-Jun-2014.
  99. ACM
    Gottscho M, BanaiyanMofrad A, Dutt N, Nicolau A and Gupta P Power / Capacity Scaling Proceedings of the 51st Annual Design Automation Conference, (1-6)
  100. ACM
    Zhang Q, Yuan F, Ye R and Xu Q ApproxIt Proceedings of the 51st Annual Design Automation Conference, (1-6)
  101. ACM
    Fu Y, Wang Y, Lin X, Nazarian S and Pedram M Energy optimal sizing of FinFET standard cells operating in multiple voltage regimes using adaptive independent gate control Proceedings of the 24th edition of the great lakes symposium on VLSI, (73-74)
  102. ACM
    Foglia P and Solinas M (2014). Exploiting replication to improve performances of NUCA-based CMP systems, ACM Transactions on Embedded Computing Systems, 13:3s, (1-23), Online publication date: 1-Mar-2014.
  103. Meher B and Meher P (2013). An Efficient Look-up Table-based Approach for Multiplication over GF(2m) Generated by Trinomials, Circuits, Systems, and Signal Processing, 32:6, (2623-2638), Online publication date: 1-Dec-2013.
  104. Forte D, Bao C and Srivastava A Temperature tracking Proceedings of the International Conference on Computer-Aided Design, (532-539)
  105. Sun S, Li X, Liu H, Luo K and Gu B Fast statistical analysis of rare circuit failure events via scaled-sigma sampling for high-dimensional variation space Proceedings of the International Conference on Computer-Aided Design, (478-485)
  106. ACM
    Deshpande A and Draper J Leakage energy estimates for HPC applications Proceedings of the 1st International Workshop on Energy Efficient Supercomputing, (1-8)
  107. ACM
    Georgakos G, Schlichtmann U, Schneider R and Chakraborty S Reliability challenges for electric vehicles Proceedings of the 50th Annual Design Automation Conference, (1-9)
  108. ACM
    Wang X, Yueh W, Roy D, Narasimhan S, Zheng Y, Mukhopadhyay S, Mukhopadhyay D and Bhunia S Role of power grid in side channel attack and power-grid-aware secure design Proceedings of the 50th Annual Design Automation Conference, (1-9)
  109. ACM
    Shi B and Srivastava A Thermal stress aware 3D-IC statistical static timing analysis Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI, (281-286)
  110. Chen Y and Liu Y Dual-addressing memory architecture for two-dimensional memory access patterns Proceedings of the Conference on Design, Automation and Test in Europe, (71-76)
  111. Schlösser A, Nedospasov D, Krämer J, Orlic S and Seifert J Simple photonic emission analysis of AES Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems, (41-57)
  112. ACM
    Liu B, Chen X and Teshome F (2012). Resilient and adaptive performance logic, ACM Journal on Emerging Technologies in Computing Systems, 8:3, (1-16), Online publication date: 1-Aug-2012.
  113. Chen C, Lee W, Parsa R, Chong S, Provine J, Watt J, Howe R, Wong H and Mitra S Nano-electro-mechanical relays for FPGA routing Proceedings of the Conference on Design, Automation and Test in Europe, (1361-1366)
  114. ACM
    Henry M and Nazhandali L (2012). From Transistors to NEMS, ACM Journal on Emerging Technologies in Computing Systems, 8:1, (1-18), Online publication date: 1-Feb-2012.
  115. Karimiyan H, Calimera A, Macii A, Macii E and Poncino M An on-chip all-digital PV-monitoring architecture for digital IPs Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation, (162-172)
  116. Park J and Abraham J A fast, accurate and simple critical path monitor for improving energy-delay product in DVS systems Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design, (391-396)
  117. ACM
    Henry M, Srivastav M and Nazhandali L A case for NEMS-based functional-unit power gating of low-power embedded microprocessors Proceedings of the 48th Design Automation Conference, (872-877)
  118. ACM
    Verbauwhede I and Maes R Physically unclonable functions Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, (455-460)
  119. ACM
    Zhao W, Torres L, Guillemenet Y, Cargnini L, Lakys Y, Klein J, Ravelosona D, Sassatelli G and Chappert C Design of MRAM based logic circuits and its applications Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, (431-436)
  120. ACM
    Brito Filho F and de Sousa F Wideband ring VCO for cognitive radio five-port receiver Proceedings of the 23rd symposium on Integrated circuits and system design, (18-22)
  121. ACM
    Gao Q, Shen Y, Cai Y and Yao H Analog circuit shielding routing algorithm based on net classification Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, (123-128)
  122. ACM
    Wang W, Qin X and Mishra P Temperature- and energy-constrained scheduling in multitasking systems Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, (85-90)
  123. Liu B, Cao Z, Tao J, Zeng X, Tang P and Wong P Intel LVS logic as a combinational logic paradigm in CNT technology Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, (77-81)
  124. ACM
    Cheng C, Kahng A, Samadi K and Shayan A Worst-case performance prediction under supply voltage and temperature variation Proceedings of the 12th ACM/IEEE international workshop on System level interconnect prediction, (91-96)
  125. ACM
    Amarchinta S and Kudithipudi D Performance enhancement of subthreshold circuits using substrate biasing and charge-boosting buffers Proceedings of the 20th symposium on Great lakes symposium on VLSI, (369-372)
  126. Kilada E and Stevens K Control network generator for latency insensitive designs Proceedings of the Conference on Design, Automation and Test in Europe, (1773-1778)
  127. Tawfik S and Kursun V (2010). Dual supply voltages and dual clock frequencies for lower clock power and suppressed temperature-gradient-induced clock skew, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:3, (347-355), Online publication date: 1-Mar-2010.
  128. Paik S, Yu L and Shin Y Statistical time borrowing for pulsed-latch circuit designs Proceedings of the 2010 Asia and South Pacific Design Automation Conference, (675-680)
  129. ACM
    Rao R, Joshi V, Blaauw D and Sylvester D (2009). Circuit optimization techniques to mitigate the effects of soft errors in combinational logic, ACM Transactions on Design Automation of Electronic Systems, 15:1, (1-27), Online publication date: 1-Dec-2009.
  130. Rossi D, Cazeaux J, Omaña M, Metra C and Chatterjee A (2009). Accurate linear model for SET critical charge estimation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:8, (1161-1166), Online publication date: 1-Aug-2009.
  131. Ben Jamaa M, Mohanram K and De Micheli G Novel library of logic gates with ambipolar CNTFETs Proceedings of the Conference on Design, Automation and Test in Europe, (622-627)
  132. ACM
    Teehan P, Lemieux G and Greenstreet M Towards reliable 5Gbps wave-pipelined and 3Gbps surfing interconnect in 65nm FPGAs Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays, (43-52)
  133. Mahesri A, Johnson D, Crago N and Patel S Tradeoffs in designing accelerator architectures for visual computing Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture, (164-175)
  134. Dimitrakopoulos G, Galanopoulos K, Mavrokefalidis C and Nikolos D (2008). Low-power leading-zero counting and anticipation logic for high-speed floating point units, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:7, (837-850), Online publication date: 1-Jul-2008.
  135. Martinez A, Alfaro F, Sanchez J, Quiles F and Duato J (2007). A New Cost-Effective Technique for QoS Support in Clusters, IEEE Transactions on Parallel and Distributed Systems, 18:12, (1714-1726), Online publication date: 1-Dec-2007.
  136. Matsunaga T and Matsunaga Y (2007). Timing-Constrained Area Minimization Algorithm for Parallel Prefix Adders, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E90-A:12, (2770-2777), Online publication date: 1-Dec-2007.
  137. ACM
    Tawfik S and Kursun V Dual signal frequencies and voltage levels for low power and temperature-gradient tolerant clock distribution Proceedings of the 2007 international symposium on Low power electronics and design, (62-67)
  138. Wu X, Ragheb T, Aziz A and Massoud Y Implementing DSP Algorithms with On-Chip Networks Proceedings of the First International Symposium on Networks-on-Chip, (307-316)
  139. Haniotakis T, Tsiatouhas Y, Nikolos D and Efstathiou C (2007). Testable designs of multiple precharged domino circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:4, (461-465), Online publication date: 1-Apr-2007.
  140. Dobkin R, Ginosar R and Sotiriou C (2006). High rate data synchronization in GALS socs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14:10, (1063-1074), Online publication date: 1-Oct-2006.
  141. Joshi V, Rao R, Blaauw D and Sylvester D Logic SER Reduction through Flipflop Redesign Proceedings of the 7th International Symposium on Quality Electronic Design, (611-616)
  142. ACM
    Kuon I and Rose J Measuring the gap between FPGAs and ASICs Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays, (21-30)
  143. Mukherjee V, Mohanty S and Kougianos E A Dual Dielectric Approach for Performance Aware Gate Tunneling Reduction in Combinational Circuits Proceedings of the 2005 International Conference on Computer Design, (431-437)
  144. Roberts W and Velenis D Parameter variation effects on timing characteristics of high performance clocked registers Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (508-517)
  145. ACM
    He L, Kahng A, Tam K and Xiong J Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation Proceedings of the 2005 international symposium on Physical design, (78-85)
  146. Wu W, Chen Y, Ma Y, Liu C, Jou J, Pamarti S and He L Wave digital filter based analog circuit emulation on FPGA 2016 IEEE International Symposium on Circuits and Systems (ISCAS), (1286-1289)
  147. Wiesen C, Becker S, Albartus N, Paar C and Rummel N Promoting the Acquisition of Hardware Reverse Engineering Skills 2019 IEEE Frontiers in Education Conference (FIE), (1-9)
Contributors
  • Macquarie University

Recommendations