skip to main content
Skip header Section
Computer organization & design: the hardware/software interfaceAugust 1993
Publisher:
  • Morgan Kaufmann Publishers Inc.
  • 340 Pine Street, Sixth Floor
  • San Francisco
  • CA
  • United States
ISBN:978-1-55860-281-6
Published:17 August 1993
Pages:
851
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. ACM
    Hendler D On the Complexity of Reader-Writer Locks Proceedings of the 2016 ACM Symposium on Principles of Distributed Computing, (315-324)
  2. ACM
    Ben-Baruch O and Hendler D The Price of being Adaptive Proceedings of the 2015 ACM Symposium on Principles of Distributed Computing, (183-192)
  3. ACM
    Watkins L, Robinson W and Beyah R (2015). Using Network Traffic to Infer Hardware State, ACM Transactions on Embedded Computing Systems, 14:3, (1-22), Online publication date: 21-May-2015.
  4. ACM
    Larkins D, Jones W and Rickard H Using FPGAs as a reconfigurable teaching tool throughout CS systems curriculum Proceeding of the 44th ACM technical symposium on Computer science education, (397-402)
  5. ACM
    Martí-Campoy A, Rodríguez-Ballester F, Tamura Morimitsu E and Ors R An algorithm for deciding minimal cache sizes in real-time systems Proceedings of the 13th annual conference on Genetic and evolutionary computation, (1163-1170)
  6. ACM
    Trümper J, Bohnet J and Döllner J Understanding complex multithreaded software systems by using trace visualization Proceedings of the 5th international symposium on Software visualization, (133-142)
  7. Zhang L, Han Y, Xu Q, Li X and Li H (2009). On topology reconfiguration for defect-tolerant NoC-based homogeneous manycore systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:9, (1173-1186), Online publication date: 1-Sep-2009.
  8. Vegdahl S (2008). MIPSPILOT, Journal of Computing Sciences in Colleges, 24:2, (32-39), Online publication date: 1-Dec-2008.
  9. ACM
    Huang Y, Zhang W and Zang B Undergraduate education in the computer system of software school, Fudan University Proceedings of the 1st ACM Summit on Computing Education in China on First ACM Summit on Computing Education in China, (1-3)
  10. ACM
    Sun Y and Zhang W Efficient code caching to improve performance and energy consumption for java applications Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems, (119-126)
  11. Valentine D (2008). Using PIC processors in computer organization, Journal of Computing Sciences in Colleges, 24:1, (116-122), Online publication date: 1-Oct-2008.
  12. ACM
    Tew A, Dorn B, Leahy W and Guzdial M (2008). Context as Support for Learning Computer Organization, Journal on Educational Resources in Computing (JERIC), 8:3, (1-18), Online publication date: 1-Oct-2008.
  13. ACM
    Kurmas Z (2008). Improving student performance using automated testing of simulated digital logic circuits, ACM SIGCSE Bulletin, 40:3, (265-270), Online publication date: 25-Aug-2008.
  14. ACM
    Kurmas Z Improving student performance using automated testing of simulated digital logic circuits Proceedings of the 13th annual conference on Innovation and technology in computer science education, (265-270)
  15. ACM
    Attiya H, Hendler D and Woelfel P Tight RMR lower bounds for mutual exclusion and other problems Proceedings of the fortieth annual ACM symposium on Theory of computing, (217-226)
  16. Silverman R and Martin M (2008). Design of a pedagogical assembly language and classroom experiences, Journal of Computing Sciences in Colleges, 23:4, (208-214), Online publication date: 1-Apr-2008.
  17. ACM
    Xu L Language engineering in the context of a popular, inexpensive robot platform Proceedings of the 39th SIGCSE technical symposium on Computer science education, (43-47)
  18. ACM
    Xu L (2008). Language engineering in the context of a popular, inexpensive robot platform, ACM SIGCSE Bulletin, 40:1, (43-47), Online publication date: 29-Feb-2008.
  19. ACM
    Brandner F, Ebner D and Krall A Compiler generation from structural architecture descriptions Proceedings of the 2007 international conference on Compilers, architecture, and synthesis for embedded systems, (13-22)
  20. ACM
    Golab W, Hadzilacos V, Hendler D and Woelfel P Constant-RMR implementations of CAS and other synchronization primitives using read and write operations Proceedings of the twenty-sixth annual ACM symposium on Principles of distributed computing, (3-12)
  21. ACM
    Urness T Teaching computer organization/architecture by building a computer Proceedings of the 2007 workshop on Computer architecture education, (72-76)
  22. ACM
    Stanley T, Embrey G, Prigmore D, Fife L, Mikolyski S and Colton D Pedagogic value in understanding computer architecture of implementing the marie computer from null and lobur in the logic emulation software, multimedia logic Proceedings of the 2007 workshop on Computer architecture education, (66-71)
  23. ACM
    Ramachandran U and Leahy W An integrated approach to teaching computer systems architecture Proceedings of the 2007 workshop on Computer architecture education, (38-43)
  24. ACM
    Pascual L, Torrentí A, Sahuquillo J and Flich J Understanding cache hierarchy interactions with a program-driven simulator Proceedings of the 2007 workshop on Computer architecture education, (30-35)
  25. ACM
    Poplawski D A pedagogically targeted logic design and simulation tool Proceedings of the 2007 workshop on Computer architecture education, (1-7)
  26. ACM
    Norris C and Wilkes J YESS Proceedings of the 45th annual southeast regional conference, (150-155)
  27. Stanley T, Xuan T, Fife L and Colton D Simple eight bit, emulated computers for illustrating computer architecture concepts and providing a starting point for student designs Proceedings of the ninth Australasian conference on Computing education - Volume 66, (141-146)
  28. Rao D and Wilsey P Applying parallel, dynamic-resolution simulations to accelerate VLSI power estimation Proceedings of the 38th conference on Winter simulation, (694-702)
  29. ACM
    Psarakis M, Gizopoulos D, Hatzimihail M, Paschalis A, Raghunathan A and Ravi S Systematic software-based self-test for pipelined processors Proceedings of the 43rd annual Design Automation Conference, (393-398)
  30. ACM
    Jayaseelan R, Liu H and Mitra T Exploiting forwarding to improve data bandwidth of instruction-set extensions Proceedings of the 43rd annual Design Automation Conference, (43-48)
  31. ACM
    Petit S, Tomás N, Sahuquillo J and Pont A An execution-driven simulation tool for teaching cache memories in introductory computer organization courses Proceedings of the 2006 workshop on Computer architecture education: held in conjunction with the 33rd International Symposium on Computer Architecture, (4-es)
  32. Harcourt E (2005). Teaching computer organization and architecture using SystemC, Journal of Computing Sciences in Colleges, 21:2, (27-39), Online publication date: 1-Dec-2005.
  33. Vollmar D and Sanderson D (2005). A MIPS assembly language simulator designed for education, Journal of Computing Sciences in Colleges, 21:1, (95-101), Online publication date: 1-Oct-2005.
  34. ACM
    Stanley T and Wang M An emulated computer with assembler for teaching undergraduate computer architecture Proceedings of the 2005 workshop on Computer architecture education: held in conjunction with the 32nd International Symposium on Computer Architecture, (7-es)
  35. Basu A and Narlikar G (2005). Fast incremental updates for pipelined forwarding engines, IEEE/ACM Transactions on Networking (TON), 13:3, (690-703), Online publication date: 1-Jun-2005.
  36. Armstrong D and Jacobson S (2004). Polynomial transformations and data-independent neighborhood functions, Discrete Applied Mathematics, 143:1-3, (272-284), Online publication date: 30-Sep-2004.
  37. ACM
    Weber S, Moskewicz M, Gries M, Sauer C and Keutzer K Fast cycle-accurate simulation and instruction set generation for constraint-based descriptions of programmable architectures Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (18-23)
  38. ACM
    Branovic I, Giorgi R and Martinelli E WebMIPS Proceedings of the 2004 workshop on Computer architecture education: held in conjunction with the 31st International Symposium on Computer Architecture, (19-es)
  39. ACM
    Claver J, Castillo M and Mayo R Improving Instruction Set Architecture learning results Proceedings of the 2004 workshop on Computer architecture education: held in conjunction with the 31st International Symposium on Computer Architecture, (13-es)
  40. ACM
    Bonato V, Menotti R, Simões E, Fernandes M and Marques E Teaching embedded systems with FPGAs throughout a computer science course Proceedings of the 2004 workshop on Computer architecture education: held in conjunction with the 31st International Symposium on Computer Architecture, (4-es)
  41. Blanco V, González P, Cabaleiro J, Heras D, Pena T, Pombo J and Rivera F (2019). Performance Prediction for Parallel Iterative Solvers, The Journal of Supercomputing, 28:2, (177-191), Online publication date: 1-May-2004.
  42. ACM
    Metzgen P A high performance 32-bit ALU for programmable logic Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, (61-70)
  43. Koziris N, Sotiropoulos A and Goumas G (2003). A pipelined schedule to minimize completion time for loop tiling with computation and communication overlapping, Journal of Parallel and Distributed Computing, 63:11, (1138-1151), Online publication date: 1-Nov-2003.
  44. ACM
    Lee J, Choi K and Dutt N Energy-efficient instruction set synthesis for application-specific processors Proceedings of the 2003 international symposium on Low power electronics and design, (330-333)
  45. ACM
    de Freitas H and Martins C Didactic architectures and simulator for network processor learning Proceedings of the 2003 workshop on Computer architecture education: Held in conjunction with the 30th International Symposium on Computer Architecture, (14-es)
  46. ACM
    Marwedel P and Sirocic B Multimedia components for the visualization of dynamic behavior in computer architectures Proceedings of the 2003 workshop on Computer architecture education: Held in conjunction with the 30th International Symposium on Computer Architecture, (13-es)
  47. ACM
    Teller P, Nieto M and Roach S Combining learning strategies and tools in a first course in computer architecture Proceedings of the 2003 workshop on Computer architecture education: Held in conjunction with the 30th International Symposium on Computer Architecture, (8-es)
  48. ACM
    Givargis T Improved indexing for cache miss reduction in embedded systems Proceedings of the 40th annual Design Automation Conference, (875-880)
  49. Henftling R, Zinn A, Bauer M, Ecker W and Zambaldi M Platform-Based Testbench Generation Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  50. ACM
    Mahapatra N, Liu J and Sundaresan K (2002). The performance advantage of applying compression to the memory system, ACM SIGPLAN Notices, 38:2 supplement, (86-96), Online publication date: 15-Feb-2003.
  51. Farrens M and Pleszkun A Pipeline Encyclopedia of Computer Science, (1405-1408)
  52. Hellerman H and Reilly E Computer system Encyclopedia of Computer Science, (425-431)
  53. Athanasaki M, Sotiropoulos A, Tsoukalas G and Koziris N Pipelined scheduling of tiled nested loops onto clusters of SMPs using memory mapped network interfaces Proceedings of the 2002 ACM/IEEE conference on Supercomputing, (1-13)
  54. ACM
    Ward M and Audsley N Hardware implementation of the Ravenscar Ada tasking profile Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems, (59-68)
  55. ACM
    Gharsalli F, Lyonnard D, Meftali S, Rousseau F and Jerraya A Unifying memory and processor wrapper architecture in multiprocessor SoC design Proceedings of the 15th international symposium on System Synthesis, (26-31)
  56. ACM
    Mahapatra N, Liu J and Sundaresan K The performance advantage of applying compression to the memory system Proceedings of the 2002 workshop on Memory system performance, (86-96)
  57. ACM
    Gharsalli F, Meftali S, Rousseau F and Jerraya A Automatic generation of embedded memory wrapper for multiprocessor SoC Proceedings of the 39th annual Design Automation Conference, (596-601)
  58. ACM
    Real J, Sahuquillo J, Pont A, Lemus L and Robles A A lab course of computer organization Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture, (22-es)
  59. ACM
    Brorsson M MipsIt Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture, (12-es)
  60. ACM
    Ellard D, Holland D, Murphy N and Seltzer M On the design of a new CPU architecture for pedagogical purposes Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture, (6-es)
  61. ACM
    Pearson M, Armstrong D and McGregor T Using custom hardware and simulation to support computer systems teaching Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture, (5-es)
  62. ACM
    Stan M and Skadron K Teaching processor architecture with a VLSI perspective Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture, (3-es)
  63. Sotiropoulos A, Tsoukalas G and Koziris N Enhancing the Performance of Tiled Loop Execution onto Clusters Using Memory Mapped Network Interfaces and Pipelined Schedules Proceedings of the 16th International Parallel and Distributed Processing Symposium
  64. ACM
    Rubin S, Bodík R and Chilimbi T An efficient profile-analysis framework for data-layout optimizations Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (140-153)
  65. ACM
    Rubin S, Bodík R and Chilimbi T (2002). An efficient profile-analysis framework for data-layout optimizations, ACM SIGPLAN Notices, 37:1, (140-153), Online publication date: 1-Jan-2002.
  66. ACM
    Reis R and Moreira N (2001). Apoo, ACM SIGCSE Bulletin, 33:4, (43-47), Online publication date: 1-Dec-2001.
  67. ACM
    Ward M and Audsley N Hardware compilation of sequential ada Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems, (99-107)
  68. ACM
    Cohen M and Colbourn C Ordering disks for double erasure codes Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures, (229-236)
  69. ACM
    Kroening D and Paul W Automated pipeline design Proceedings of the 38th annual Design Automation Conference, (810-815)
  70. ACM
    Lai W and Cheng K Instruction-level DFT for testing processor and IP cores in system-on-a-chip Proceedings of the 38th annual Design Automation Conference, (59-64)
  71. ACM
    Schnarr E, Hill M and Larus J Facile Proceedings of the ACM SIGPLAN 2001 conference on Programming language design and implementation, (321-331)
  72. ACM
    Schnarr E, Hill M and Larus J (2001). Facile, ACM SIGPLAN Notices, 36:5, (321-331), Online publication date: 1-May-2001.
  73. ACM
    Touati S Optimal acyclic fine-grain scheduling with cache effects for embedded and real time systems Proceedings of the ninth international symposium on Hardware/software codesign, (159-164)
  74. ACM
    Bryant R and O'Hallaron D (2001). Introducing computer systems from a programmer's perspective, ACM SIGCSE Bulletin, 33:1, (90-94), Online publication date: 1-Mar-2001.
  75. ACM
    Bryant R and O'Hallaron D Introducing computer systems from a programmer's perspective Proceedings of the thirty-second SIGCSE technical symposium on Computer Science Education, (90-94)
  76. ACM
    Ågren O Virtual machines as an aid in teaching computer concepts Proceedings of the 2000 workshop on Computer architecture education, (14-es)
  77. Nicol D, Liu J and Cowie J Safe timestamps and large-scale modeling Proceedings of the fourteenth workshop on Parallel and distributed simulation, (71-78)
  78. ACM
    Lin M and Lin Y A VLSI implementation of the blowfish encryption/decryption algorithm Proceedings of the 2000 Asia and South Pacific Design Automation Conference, (1-2)
  79. ACM
    de la Fuente S, Clemente M and Cavanillas R (1999). Teaching computer architecture with a new superscalar processor emulator, ACM SIGCSE Bulletin, 31:3, (99-102), Online publication date: 1-Sep-1999.
  80. ACM
    de la Fuente S, Clemente M and Cavanillas R Teaching computer architecture with a new superscalar processor emulator Proceedings of the 4th annual SIGCSE/SIGCUE ITiCSE conference on Innovation and technology in computer science education, (99-102)
  81. ACM
    Fournier L, Koyfman A and Levinger M Developing an architecture validation suite Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (189-194)
  82. Penttonen M (2019). Relative Cost Random Access Machines, Fundamenta Informaticae, 38:1,2, (17-23), Online publication date: 1-Apr-1999.
  83. ACM
    Morsiani M and Davoli R Learning operating systems structure and implementation through the MPS computer system simulator The proceedings of the thirtieth SIGCSE technical symposium on Computer science education, (63-67)
  84. ACM
    Morsiani M and Davoli R (1999). Learning operating systems structure and implementation through the MPS computer system simulator, ACM SIGCSE Bulletin, 31:1, (63-67), Online publication date: 1-Mar-1999.
  85. ACM
    Zhu J and Gajski D A retargetable, ultra-fast instruction set simulator Proceedings of the conference on Design, automation and test in Europe, (62-es)
  86. Sivaram R, Panda D and Stunkel C (1998). Efficient Broadcast and Multicast on Multistage Interconnection Networks Using Multiport Encoding, IEEE Transactions on Parallel and Distributed Systems, 9:10, (1004-1028), Online publication date: 1-Oct-1998.
  87. ACM
    Vishkin U, Dascal S, Berkovich E and Nuzman J Explicit multi-threading (XMT) bridging models for instruction parallelism (extended abstract) Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures, (140-151)
  88. ACM
    Arias J and García D Introducing computer architecture education in the first course of computer science career Proceedings of the 1998 workshop on Computer architecture education, (19-es)
  89. ACM
    Pearson M, McGregor T and Holmes G Teaching computer systems to majors Proceedings of the 1998 workshop on Computer architecture education, (5-es)
  90. Vaidya N (1998). A Case for Two-Level Recovery Schemes, IEEE Transactions on Computers, 47:6, (656-666), Online publication date: 1-Jun-1998.
  91. Pyttel A, Sedlmeier A and Veith C PSCP Proceedings of the conference on Design, automation and test in Europe, (370-376)
  92. Lee C, Potkonjak M and Mangione-Smith W MediaBench Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, (330-335)
  93. Kin J, Gupta M and Mangione-Smith W The filter cache Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, (184-193)
  94. Scholl C, Drechsler R and Becker B Functional simulation using binary decision diagrams Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (8-12)
  95. Panda P, Dutt N and Nicolau A Architectural exploration and optimization of local memory in embedded systems Proceedings of the 10th international symposium on System synthesis, (90-97)
  96. Kornaros G, Kozyrakis C, Vatsolaki P and Katevenis M Pipelined Multi-Queue Management in a VLSI ATM Switch Chip with Credit-Based Flow-Control Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
  97. ACM
    Crawford K and Fekete A What do exam results really measure? Proceedings of the 2nd Australasian conference on Computer science education, (185-190)
  98. ACM
    Livesey M (1997). STAMPS, ACM SIGCSE Bulletin, 29:1, (267-271), Online publication date: 1-Mar-1997.
  99. ACM
    Livesey M STAMPS Proceedings of the twenty-eighth SIGCSE technical symposium on Computer science education, (267-271)
  100. ACM
    Gómez Pulido J, Sánchez Pérez J and Moreno Zamora J (1996). An educational tool for testing hierarchical multilevel caches, ACM SIGARCH Computer Architecture News, 24:4, (11-15), Online publication date: 1-Sep-1996.
  101. Thorup M On RAM priority queues Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms, (59-67)
  102. ACM
    Li Y and Chu W Using FPGA for computer architecture/organization education Proceedings of the 1996 workshop on Computer architecture education, (5-es)
  103. ACM
    Hamblen J, Owen H, Yalamanchili S and Dao B Using rapid prototyping in computer architecture design laboratories Proceedings of the 1996 workshop on Computer architecture education, (4-es)
  104. ACM
    Torrellas J Computer architecture education at the University of Illinois Proceedings of the 1996 workshop on Computer architecture education, (2-es)
  105. Ahuja P, Clark D and Rogers A The performance impact of incomplete bypassing in processor pipelines Proceedings of the 28th annual international symposium on Microarchitecture, (36-45)
  106. Zhao W and Papachristou C Architectural partitioning of control memory for application specific programmable processors Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (521-526)
  107. McGeer P, McMillan K, Saldanha A, Sangiovanni-Vincentelli A and Scaglia P Fast discrete function evaluation using decision diagrams Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (402-407)
  108. Nadehara K, Kuroda I, Daito M and Nakayama T (2019). Low-Power Multimedia RISC, IEEE Micro, 15:6, (20-29), Online publication date: 1-Dec-1995.
  109. ACM
    Andersson A, Hagerup T, Nilsson S and Raman R Sorting in linear time? Proceedings of the twenty-seventh annual ACM symposium on Theory of computing, (427-436)
  110. ACM
    Vaidya N A case for two-level distributed recovery schemes Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, (64-73)
  111. ACM
    Vaidya N (1995). A case for two-level distributed recovery schemes, ACM SIGMETRICS Performance Evaluation Review, 23:1, (64-73), Online publication date: 1-May-1995.
  112. ACM
    Fekete A (1995). Enhancing generic skills in the computer organization course, ACM SIGCSE Bulletin, 27:1, (273-277), Online publication date: 15-Mar-1995.
  113. ACM
    Reid R (1995). Object-oriented simulation of computer architectures using C++, ACM SIGCSE Bulletin, 27:1, (19-23), Online publication date: 15-Mar-1995.
  114. ACM
    Fekete A Enhancing generic skills in the computer organization course Proceedings of the twenty-sixth SIGCSE technical symposium on Computer science education, (273-277)
  115. ACM
    Reid R Object-oriented simulation of computer architectures using C++ Proceedings of the twenty-sixth SIGCSE technical symposium on Computer science education, (19-23)
  116. Andrews D, Wheeler A, Wealand B and Kancler C Rapid prototype of a hardware emulator for a SIMD processor array Proceedings of the 1995 European conference on Design and Test
  117. ACM
    Kaeli D Combining object-oriented design and computer architecture into a single senior-level course Proceedings of the 1995 workshop on Computer architecture education, (11-es)
  118. Bala K, Kaashoek M and Weihl W Software prefetching and caching for translation lookaside buffers Proceedings of the 1st USENIX conference on Operating Systems Design and Implementation, (18-es)
  119. ACM
    Danskin J Higher Bandwidth X Proceedings of the second ACM international conference on Multimedia, (89-96)
  120. ACM
    Cmelik B and Keppel D (2019). Shade: a fast instruction-set simulator for execution profiling, ACM SIGMETRICS Performance Evaluation Review, 22:1, (128-137), Online publication date: 1-May-1994.
  121. ACM
    Cmelik B and Keppel D Shade: a fast instruction-set simulator for execution profiling Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, (128-137)
  122. ACM
    Proebsting T and Fraser C Detecting pipeline structural hazards quickly Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (280-286)
Contributors
  • University of California, Berkeley
  • Stanford University

Recommendations