skip to main content
Skip header Section
CMOS Digital Integrated Circuits Analysis & DesignOctober 2002
Publisher:
  • McGraw-Hill, Inc.
  • Professional Book Group 11 West 19th Street New York, NY
  • United States
ISBN:978-0-07-246053-7
Published:29 October 2002
Pages:
672
Skip Bibliometrics Section
Bibliometrics
Skip Abstract Section
Abstract

CMOS Digital Integrated Circuits: Analysis and Design is the most complete book on the market for CMOS circuits. Appropriate for electrical engineering and computer science, this book starts with CMOS processing, and then covers MOS transistor models, basic CMOS gates, interconnect effects, dynamic circuits, memory circuits, BiCMOS circuits, I/O circuits, VLSI design methodologies, low-power design techniques, design for manufacturability and design for testability. This book provides rigorous treatment of basic design concepts with detailed examples. It typically addresses both the computer-aided analysis issues and the design issues for most of the circuit examples. Numerous SPICE simulation results are also provided for illustration of basic concepts. Through rigorous analysis of CMOS circuits in this text, students will be able to learn the fundamentals of CMOS VLSI design, which is the driving force behind the development of advanced computer hardware.Table of contents1 Introduction2 Fabrication of MOSFETS3 MOS Transistor4 Modeling of MOS Transistors Using SPICE5 MOS Inverters: Static Characteristics6 MOS Inverters: Switching Characteristics and Interconnect Effects7 Combinational MOS Logic Circuits8 Sequential MOS Logic Circuits9 Dynamic Logic Circuits10 Semiconductor Memories11 Low-Power CMOS Logic Circuits12 BiCMOS Logic Circuits13 Chip Input and Output (I/O) Circuits14 Design for Manufacturability15 Design for Testability

Cited By

  1. Muthu P, Vasanth Raj P, Bommi R, Baskar M, Selvaganapathi S, Sivaprakasam P and Vijayan V (2022). Adiabatic CMOS-Based Electrostatic MEMS Actuation for Reduced Dynamic Power and Switching Activity, Journal of Nanomaterials, 2022, Online publication date: 1-Jan-2022.
  2. Verma P, Sharma A, Noor A, Mishra A and Pandey V (2019). A novel approach for noise tolerant energy efficient TSPC dynamic circuit design, Analog Integrated Circuits and Signal Processing, 100:1, (119-131), Online publication date: 1-Jul-2019.
  3. Lu S, Zhong S and Hashizume M (2018). Fault Leveling Techniques for Yield and Reliability Enhancement of NAND Flash Memories, Journal of Electronic Testing: Theory and Applications, 34:5, (559-570), Online publication date: 1-Oct-2018.
  4. Lu S, Jheng H, Lin H and Hashizume M (2018). Address Remapping Techniques for Enhancing Fabrication Yield of Embedded Memories, Journal of Electronic Testing: Theory and Applications, 34:4, (435-446), Online publication date: 1-Aug-2018.
  5. Agrawal Y, Kumar M and Chandel R (2018). A Unified Delay, Power and Crosstalk Model for Current Mode Signaling Multiwall Carbon Nanotube Interconnects, Circuits, Systems, and Signal Processing, 37:4, (1359-1382), Online publication date: 1-Apr-2018.
  6. Lorenzo R and Chaudhury S (2017). A Novel SRAM Cell Design with a Body-Bias Controller Circuit for Low Leakage, High Speed and Improved Stability, Wireless Personal Communications: An International Journal, 94:4, (3513-3529), Online publication date: 1-Jun-2017.
  7. ACM
    Li S, Niu D, Malladi K, Zheng H, Brennan B and Xie Y DRISA Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, (288-301)
  8. Mukherjee R, Sheth K, Dhar A, Chakrabarti I and Sengupta S (2015). High Performance VLSI Architecture for Three-Step Search Algorithm, Circuits, Systems, and Signal Processing, 34:5, (1595-1612), Online publication date: 1-May-2015.
  9. ACM
    Chaturvedi A, Kumar3 M, Meena R and Sharma U Design and Simulation of a 3.35 GHz Down-Conversion Mixer in 0.18μm CMOS Technology for UWB System Proceedings of the Sixth International Conference on Computer and Communication Technology 2015, (452-455)
  10. ACM
    Kang W, Zhang Y, Wang Z, Klein J, Chappert C, Ravelosona D, Wang G, Zhang Y and Zhao W (2015). Spintronics, ACM Journal on Emerging Technologies in Computing Systems, 12:2, (1-42), Online publication date: 2-Sep-2015.
  11. Sugawara T, Suzuki D, Fujii R, Tawa S, Hori R, Shiozaki M and Fujino T Reversing Stealthy Dopant-Level Circuits Proceedings of the 16th International Workshop on Cryptographic Hardware and Embedded Systems --- CHES 2014 - Volume 8731, (112-126)
  12. ACM
    Jain J Various Low Power Approaches in CMOS Vlsi Circuits Proceedings of the 2014 International Conference on Information and Communication Technology for Competitive Strategies, (1-7)
  13. Gholami M and Ardeshir G (2013). Analysis of DLL Jitter due to Voltage-Controlled Delay Line, Circuits, Systems, and Signal Processing, 32:5, (2119-2135), Online publication date: 1-Oct-2013.
  14. Singh S, Sharma T, Sharma K and Singh B (2012). 9T full adder design in subthreshold region, VLSI Design, 2012, (3-3), Online publication date: 1-Jan-2012.
  15. ACM
    Anandakumar N and Dillibabu S Correlation power analysis attack of AES on FPGA using customized communication protocol Proceedings of the Second International Conference on Computational Science, Engineering and Information Technology, (683-688)
  16. Lee C, Fan C and Lu E (2011). Combined circuit architecture for computing normal basis and Montgomery multiplications over GF(2m), International Journal of Autonomous and Adaptive Communications Systems, 4:3, (291-306), Online publication date: 1-Jun-2011.
  17. ACM
    Zhao W, Torres L, Guillemenet Y, Cargnini L, Lakys Y, Klein J, Ravelosona D, Sassatelli G and Chappert C Design of MRAM based logic circuits and its applications Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, (431-436)
  18. ACM
    Meinerzhagen P, Andiç O, Treichler J and Burg A Design and failure analysis of logic-compatible multilevel gain-cell-based dram for fault-tolerant VLSI systems Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, (343-346)
  19. Tajalli A and Leblebici Y Ultra-low power mixed-signal design platform using subthreshold source-coupled circuits Proceedings of the Conference on Design, Automation and Test in Europe, (711-716)
  20. Menichelli F and Olivieri M (2009). Static minimization of total energy consumption in memory subsystem for scratchpad-based systems-on-chips, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:2, (161-171), Online publication date: 1-Feb-2009.
  21. Liang C and Huang X (2009). SmartCell, EURASIP Journal on Embedded Systems, 2009, (1-15), Online publication date: 1-Jan-2009.
  22. ACM
    Lee D, Beak S, Lee Y, Lee E, Kim J, Park G and Jeong T Minimize the delay of parasitic capacitance and modeling in RLC circuit Proceedings of the 2009 International Conference on Hybrid Information Technology, (614-620)
  23. ACM
    Lau M, Ling K and Chu Y Energy-aware probabilistic multiplier Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems, (281-290)
  24. Beckett P (2008). A low-power reconfigurable logic array based on double-gate transistors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:2, (115-123), Online publication date: 1-Feb-2008.
  25. ACM
    Chen C, Lee C and Lu E Combined circuit architecture for computing normal basis and montgomery multiplications over GF(2m) Proceedings of the International Conference on Mobile Technology, Applications, and Systems, (1-6)
  26. Oda M, Yamagami Y, Kawata J, Nishio Y and Ushida A (2008). Sensitivity Analysis and Optimization Algorithm —– Based on Nonlinear Programming —–, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E91-A:9, (2426-2434), Online publication date: 1-Sep-2008.
  27. Lee C (2008). Low-Complexity Parallel Systolic Montgomery Multipliers over GF(2m) Using Toeplitz Matrix-Vector Representation, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E91-A:6, (1470-1477), Online publication date: 1-Jun-2008.
  28. Caka N, Zabeli M, Limani M and Kabashi Q Impact of MOSFET parameters on its parasitic capacitances Proceedings of the 6th WSEAS International Conference on Electronics, Hardware, Wireless and Optical Communications, (55-59)
  29. Caka N, Zabeli M, Limani M and Kabashi Q Impact of MOSFET parameters on its parasitic capacitances Proceedings of the 6th WSEAS International Conference on Electronics, Hardware, Wireless and Optical Communications, (55-59)
  30. Zabeli M, Caka N, Limani M and Kabashi Q The impact of MOSFET's physical parameters on its threshold voltage Proceedings of the 6th conference on Microelectronics, nanoelectronics, optoelectronics, (54-58)
  31. ACM
    Shen B, Khatri S and Zourntos T Implementation of MOSFET based capacitors for digital applications Proceedings of the 16th ACM Great Lakes symposium on VLSI, (180-186)
  32. Lee C, Chiou C and Lin J (2006). Concurrent Error Detection in a Polynomial Basis Multiplier over GF(2m), Journal of Electronic Testing: Theory and Applications, 22:2, (143-150), Online publication date: 1-Apr-2006.
  33. Gyure A, Kasnavi A, Lo S, Tehrani P, Shu W, Shahram M, Wang J and Zedja J Noise Library Characterization for Large Capacity Static Noise Analysis Tools Proceedings of the 6th International Symposium on Quality of Electronic Design, (28-34)
  34. Tang C, Lala P and Parkerson J A Technique for Designing Totally Self-Checking Domino Logic Circuits Proceedings of the 6th International Symposium on Quality of Electronic Design, (128-132)
  35. Li X, Walter J and Bernstein J Simulating and Improving Microelectronic Device Reliability by Scaling Voltage and Temperature Proceedings of the 6th International Symposium on Quality of Electronic Design, (496-502)
  36. ACM
    Dieter W, Datta S and Kai W Power reduction by varying sampling rate Proceedings of the 2005 international symposium on Low power electronics and design, (227-232)
  37. Huang H, Wang C and Jou J Optimal design of high fan-in multiplexers via mixed-integer nonlinear programming Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (280-283)
  38. Wang L and Shanbhag N (2003). Energy-efficiency bounds for deep submicron VLSI systems in the presence of noise, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 11:2, (254-269), Online publication date: 1-Apr-2003.
  39. ACM
    Kang S Elements of low power design for integrated systems Proceedings of the 2003 international symposium on Low power electronics and design, (205-210)
  40. Martina E High-Performance, Low-Power Skewed Static Logic in Very Deep-Submicron (VDSM) Technology Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
  41. ACM
    Shanbhag N, Soumyanath K and Martin S Reliable low-power design in the presence of deep submicron noise (embedded tutorial session) Proceedings of the 2000 international symposium on Low power electronics and design, (295-302)
  42. Thibeault C (2000). Diagnosis Method Using ΔIDDQ Probabilistic Signatures, Journal of Electronic Testing: Theory and Applications, 16:4, (339-353), Online publication date: 1-Aug-2000.
  43. Kömmerling O and Kuhn M Design principles for tamper-resistant smartcard processors Proceedings of the USENIX Workshop on Smartcard Technology on USENIX Workshop on Smartcard Technology, (2-2)
  44. ACM
    Orshansky M, Chen J and Hu C A statistical performance simulation methodology for VLSI circuits Proceedings of the 35th annual Design Automation Conference, (402-407)
  45. Thibeault C and Boisvert L Diagnosis method based on /spl Delta/Iddq probabilistic signatures Proceedings of the 1998 IEEE International Test Conference, (1019-1026)
  46. Thibeault C A novel probabilistic approach for IC diagnosis based on differential quiescent current signatures Proceedings of the 15th IEEE VLSI Test Symposium
Contributors
  • University of California, Santa Cruz

Recommendations