skip to main content
Skip header Section
Transaction-Level Modeling with Systemc: Tlm Concepts and Applications for Embedded SystemsJanuary 2006
Publisher:
  • Springer-Verlag
  • Berlin, Heidelberg
ISBN:978-0-387-26232-1
Published:01 January 2006
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. Sikka P, Asati A and Shekhar C (2020). Speed optimal FPGA implementation of the encryption algorithms for telecom applications, Microprocessors & Microsystems, 79:C, Online publication date: 1-Nov-2020.
  2. ACM
    Zimmermann T, Mora M, Steinhorst S, Mueller-Gritschneder D and Jossen A (2019). Analysis of Dissipative Losses in Modular Reconfigurable Energy Storage Systems Using SystemC TLM and SystemC-AMS, ACM Transactions on Design Automation of Electronic Systems, 24:4, (1-33), Online publication date: 31-Jul-2019.
  3. ACM
    Carloni L, Cota E, Guglielmo G, Giri D, Kwon J, Mantovani P, Piccolboni L and Petracca M Teaching Heterogeneous Computing with System-Level Design Methods Proceedings of the Workshop on Computer Architecture Education, (1-8)
  4. ACM
    Piccolboni L, Mantovani P, Guglielmo G and Carloni L (2017). COSMOS, ACM Transactions on Embedded Computing Systems, 16:5s, (1-22), Online publication date: 10-Oct-2017.
  5. ACM
    Meyer R, Wagner J, Farkas B, Horsinka S, Siegl P, Buchty R and Berekovic M (2016). A Scriptable Standard-Compliant Reporting and Logging Framework for SystemC, ACM Transactions on Embedded Computing Systems, 16:1, (1-28), Online publication date: 28-Feb-2017.
  6. ACM
    Barnes C, Cottin J, Verdier F and Pegatoquet A Towards the verification of industrial communication protocols through a simulation environment based on QEMU and systemC Proceedings of the ACM/IEEE 19th International Conference on Model Driven Engineering Languages and Systems, (207-214)
  7. ACM
    Moazzemi K, Hsieh C and Dutt N HAMEX Proceedings of the 27th International Symposium on Rapid System Prototyping: Shortening the Path from Specification to Prototype, (100-106)
  8. Tripakis S, Limaye R, Ravindran K, Wang G, Andrade H and Ghosal A (2016). Tokens vs. Signals, Journal of Signal Processing Systems, 85:1, (23-43), Online publication date: 1-Oct-2016.
  9. ACM
    Carloni L Invited - The case for embedded scalable platforms Proceedings of the 53rd Annual Design Automation Conference, (1-6)
  10. Gaudin E and Clouard A An Experiment to Introduce Interrupts in SDL Proceedings of the 17th International SDL Forum on SDL 2015: Model-Driven Engineering for Smart Cities - Volume 9369, (81-88)
  11. ACM
    Razaghi P and Gerstlauer A (2014). Host-Compiled Multicore System Simulation for Early Real-Time Performance Evaluation, ACM Transactions on Embedded Computing Systems, 13:5s, (1-26), Online publication date: 15-Dec-2014.
  12. ACM
    Horsinka S, Meyer R, Wagner J, Buchty R and Berekovic M On RTL to TLM Abstraction to Benefit Simulation Performance and Modeling Productivity in NoC Design Exploration Proceedings of the 2014 International Workshop on Network on Chip Architectures, (39-44)
  13. Sedov B, Syschikov A and Ivanova V Technology and design tools for portable software development for embedded systems Proceedings of the 16th Conference of Open Innovations Association FRUCT, (86-93)
  14. ACM
    Hatami N, Baranowski R, Prinetto P and Wunderlich H (2014). Multilevel Simulation of Nonfunctional Properties by Piecewise Evaluation, ACM Transactions on Design Automation of Electronic Systems, 19:4, (1-21), Online publication date: 1-Aug-2014.
  15. ACM
    Di Guglielmo G, Pilato C and Carloni L A Design Methodology for Compositional High-Level Synthesis of Communication-Centric SoCs Proceedings of the 51st Annual Design Automation Conference, (1-6)
  16. Le H and Drechsler R Towards verifying determinism of SystemC designs Proceedings of the conference on Design, Automation & Test in Europe, (1-4)
  17. ACM
    Lo C, Li M, Chen L, Lu Y, Tsay R, Huang H and Yeh J (2013). Automatic generation of high-speed accurate TLM models for out-of-order pipelined bus, ACM Transactions on Embedded Computing Systems (TECS), 13:1s, (1-25), Online publication date: 1-Nov-2013.
  18. FERAL — Framework for simulator coupling on requirements and architecture level Proceedings of the Eleventh ACM/IEEE International Conference on Formal Methods and Models for Codesign, (11-22)
  19. ACM
    Herber P and Glesner S (2013). A HW/SW co-verification framework for SystemC, ACM Transactions on Embedded Computing Systems, 12:1s, (1-23), Online publication date: 1-Mar-2013.
  20. ACM
    Chen M, Mishra P and Kalita D (2012). Automatic RTL Test Generation from SystemC TLM Specifications, ACM Transactions on Embedded Computing Systems (TECS), 11:2, (1-25), Online publication date: 1-Jul-2012.
  21. ACM
    Chandraiah P and Dömer R (2012). Computer-Aided Recoding to Create Structured and Analyzable System Models, ACM Transactions on Embedded Computing Systems, 11S:1, (1-27), Online publication date: 1-Jun-2012.
  22. Roth C, Meyer J, Rückauer M, Sander O and Becker J (2012). Efficient execution of networked MPSoC models by exploiting multiple platform levels, International Journal of Reconfigurable Computing, 2012, (6-6), Online publication date: 1-Jan-2012.
  23. Barreteau A, Nours S and Pasquier O (2012). A state-based modeling approach for efficient performance evaluation of embedded system architectures at transaction level, Journal of Electrical and Computer Engineering, 2012, (4-4), Online publication date: 1-Jan-2012.
  24. ACM
    Tripakis S, Andrade H, Ghosal A, Limaye R, Ravindran K, Wang G, Yang G, Kormerup J and Wong I Correct and non-defensive glue design using abstract models Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (59-68)
  25. ACM
    Sen A (2011). Concurrency-oriented verification and coverage of system-level designs, ACM Transactions on Design Automation of Electronic Systems (TODAES), 16:4, (1-25), Online publication date: 1-Oct-2011.
  26. Ferro L, Pierre L, Amor Z, Lachaize J and Lefftz V Runtime verification of typical requirements for a space critical SoC patform Proceedings of the 16th international conference on Formal methods for industrial critical systems, (21-36)
  27. Funchal G and Moy M Modeling of time in discrete-event simulation of systems-on-chip Proceedings of the Ninth ACM/IEEE International Conference on Formal Methods and Models for Codesign, (171-180)
  28. ACM
    Große D, Groß M, Kühne U and Drechsler R Simulation-based equivalence checking between SystemC models at different levels of abstraction Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, (223-228)
  29. ACM
    Li X and Hammami O Multi-objective topology synthesis and FPGA prototyping framework of application specific network-on-chip Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, (55-60)
  30. Roth C, Sander O, Kühnle M and Becker J HLA-based simulation environment for distributed SystemC simulation Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques, (108-114)
  31. Hojjat H, Mousavi M and Sirjani M (2011). Formal Analysis of SystemC Designs in Process Algebra, Fundamenta Informaticae, 107:1, (19-42), Online publication date: 1-Jan-2011.
  32. ACM
    Razavi N, Behjati R, Sabouri H, Khamespanah E, Shali A and Sirjani M (2011). Sysfier, ACM Transactions on Embedded Computing Systems (TECS), 10:2, (1-35), Online publication date: 1-Dec-2010.
  33. Maillet-Contoz L Standards for system level design Proceedings of the International Conference on Computer-Aided Design, (332-335)
  34. ACM
    Kondoh G and Komatsu H (2010). Dynamic binary translation specialized for embedded systems, ACM SIGPLAN Notices, 45:7, (157-166), Online publication date: 1-Jul-2010.
  35. Koudri A, Champeau J, Le Lann J and Leilde V MoPCoM methodology Proceedings of the 6th European conference on Modelling Foundations and Applications, (189-200)
  36. ACM
    Kondoh G and Komatsu H Dynamic binary translation specialized for embedded systems Proceedings of the 6th ACM SIGPLAN/SIGOPS international conference on Virtual execution environments, (157-166)
  37. Khaligh R and Radetzki M Modeling constructs and kernel for parallel simulation of accuracy adaptive TLMs Proceedings of the Conference on Design, Automation and Test in Europe, (1183-1188)
  38. Penolazzi S, Sander I and Hemani A Predicting energy and performance overhead of real-time operating systems Proceedings of the Conference on Design, Automation and Test in Europe, (15-20)
  39. ACM
    Schirner G, Gerstlauer A and Dömer R (2010). Fast and accurate processor models for efficient MPSoC design, ACM Transactions on Design Automation of Electronic Systems (TODAES), 15:2, (1-26), Online publication date: 1-Feb-2010.
  40. Gerstlauer A and Schirner G Platform modeling for exploration and synthesis Proceedings of the 2010 Asia and South Pacific Design Automation Conference, (725-731)
  41. Abdi S TLM automation for multi-core design Proceedings of the 2010 Asia and South Pacific Design Automation Conference, (717-724)
  42. ACM
    Bouhadiba T, Maraninchi F and Funchal G Formal and executable contracts for transaction-level modeling in SystemC Proceedings of the seventh ACM international conference on Embedded software, (97-106)
  43. ACM
    Gligor M, Fournel N and Pétrot F Using binary translation in event driven simulation for fast and flexible MPSoC simulation Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, (71-80)
  44. Thabet F, Coussy P, Heller D and Martin E (2009). Exploration and Rapid Prototyping of DSP Applications using SystemC Behavioral Simulation and High-level Synthesis, Journal of Signal Processing Systems, 56:2-3, (167-186), Online publication date: 1-Sep-2009.
  45. ACM
    Eusse J, Hübner M and Jacobi R BRICK Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design: Chip on the Dunes, (1-6)
  46. ACM
    Marcilio G, Santos L, Albertini B and Rigo S A novel verification technique to uncover out-of-order DUV behaviors Proceedings of the 46th Annual Design Automation Conference, (448-453)
  47. Garavel H, Helmstetter C, Ponsini O and Serwe W Verification of an industrial systemC/TLM model using LOTOS and CADP Proceedings of the 7th IEEE/ACM international conference on Formal Methods and Models for Codesign, (46-55)
  48. ACM
    Lisboa E, Silva L, Chaves I, Lima T and Barros E A design flow based on a domain specific language to concurrent development of device drivers and device controller simulation models Proceedings of th 12th International Workshop on Software and Compilers for Embedded Systems, (53-60)
  49. Pelcat M, Menuet P, Aridhi S and Nezan J Sealable compile-time scheduler for multi-core architectures Proceedings of the Conference on Design, Automation and Test in Europe, (1552-1555)
  50. Kochte M, Zoellin C, Imhof M, Khaligh R, Radetzki M, Wunderlich H, Di Carlo S and Prinetto P Test exploration and validation using transaction level models Proceedings of the Conference on Design, Automation and Test in Europe, (1250-1253)
  51. Hao K and Xie F Componentizing hardware/software interface design Proceedings of the Conference on Design, Automation and Test in Europe, (232-237)
  52. Pelcat M, Piat J, Wipliez M, Aridhi S and Nezan J (2009). An open framework for rapid prototyping of signal processing applications, EURASIP Journal on Embedded Systems, 2009, (3-3), Online publication date: 1-Jan-2009.
  53. Yoon S and Park S Architecture level simulation of IEEE 802.11N MAC using SystemC Proceedings of the Fifth IASTED International Conference on Communication Systems and Networks, (46-49)
  54. Mathaikutty D and Shukla S (2008). MCF, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:7, (792-805), Online publication date: 1-Jul-2008.
  55. ACM
    Ng K Challenges in using system-level models for RTL verification Proceedings of the 45th annual Design Automation Conference, (812-815)
  56. A Comparison of Two SystemC/TLM Semantics for Formal Verification Proceedings of the Sixth ACM/IEEE International Conference on Formal Methods and Models for Co-Design, (59-68)
  57. ACM
    Baldassin A, Centoducatte P, Rigo S, Casarotto D, Santos L, Schultz M and Furtado O (2008). An open-source binary utility generator, ACM Transactions on Design Automation of Electronic Systems (TODAES), 13:2, (1-17), Online publication date: 2-Apr-2008.
  58. ACM
    Kruijtzer W, van der Wolf P, de Kock E, Stuyt J, Ecker W, Mayer A, Hustin S, Amerijckx C, de Paoli S and Vaumorin E Industrial IP integration flows based on IP-XACT™ standards Proceedings of the conference on Design, automation and test in Europe, (32-37)
  59. ACM
    Cornet J, Maraninchi F and Maillet-Contoz L A method for the efficient development of timed and untimed transaction-level models of systems-on-chip Proceedings of the conference on Design, automation and test in Europe, (9-14)
  60. Kreku J, Hoppari M, Kestilä T, Qu Y, Soininen J, Andersson P and Tiensyrjä K (2008). Combining UML2 application and SystemC platform modelling for performance evaluation of real-time embedded systems, EURASIP Journal on Embedded Systems, 2008, (1-18), Online publication date: 30-Jan-2008.
  61. Dömer R, Gerstlauer A, Peng J, Shin D, Cai L, Yu H, Abdi S and Gajski D (2008). System-on-chip environment, EURASIP Journal on Embedded Systems, 2008, (1-13), Online publication date: 30-Jan-2008.
  62. Chandraiah P and Dömer R Automatic re-coding of reference code into structured and analyzable SoC models Proceedings of the 2008 Asia and South Pacific Design Automation Conference, (440-445)
  63. Manet P, Maufroid D, Tosi L, Gailliard G, Mulertt O, Di Ciano M, Legat J, Aulagnier D, Gamrat C, Liberati R, La Barba V, Cuvelier P, Rousseau B and Gelineau P (2008). An evaluation of dynamic partial reconfiguration for signal and image processing in professional electronics applications, EURASIP Journal on Embedded Systems, 2008, (1-11), Online publication date: 1-Jan-2008.
  64. ACM
    Maraninchi F and Bouhadiba T 42 Proceedings of the 6th international conference on Generative programming and component engineering, (53-62)
  65. ACM
    Albertini B, Rigo S, Araujo G, Araujo C, Barros E and Azevedo W A computational reflection mechanism to support platform debugging in SystemC Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, (81-86)
  66. ACM
    Chandraiah P and Doemer R Pointer re-coding for creating definitive MPSoC models Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, (33-38)
  67. ACM
    Klingauf W, Günzel R and Schröder C Embedded software development on top of transaction-level models Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, (27-32)
  68. ACM
    Herrera F and Villar E (2008). A framework for heterogeneous specification and design of electronic embedded systems in SystemC, ACM Transactions on Design Automation of Electronic Systems, 12:3, (1-31), Online publication date: 17-Aug-2007.
  69. F. J, Santos L and Santos L An automatically-retargetable time-constraint-driven instruction scheduler for post-compiling optimization of embedded code Proceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation, (86-95)
  70. Traulsen C, Cornet J, Moy M and Maraninchi F A systemC/TLM semantics in PROMELA and its possible applications Proceedings of the 14th international SPIN conference on Model checking software, (204-222)
  71. ACM
    Mathur A and Krishnaswamy V Design for verification in system-level models and RTL Proceedings of the 44th annual Design Automation Conference, (193-198)
  72. Brière M, Girodias B, Bouchebaba Y, Nicolescu G, Mieyeville F, Gaffiot F and O'Connor I System level assessment of an optical NoC in an MPSoC platform Proceedings of the conference on Design, automation and test in Europe, (1084-1089)
  73. Patel H and Shukla S Tackling an abstraction gap Proceedings of the conference on Design, automation and test in Europe, (279-284)
  74. Yoon S, Lee J and Park S Design space exploration of IEEE 802.11n using SystemC Proceedings of the 6th WSEAS International Conference on Instrumentation, Measurement, Circuits and Systems, (19-23)
  75. Pacholik A and Fengler W A system model for formal verification of TLM based transaction properties Proceedings of the 2007 spring simulaiton multiconference - Volume 1, (93-99)
  76. Helmstetter C, Maraninchi F and Maillet-Contoz L Test coverage for loose timing annotations Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology, (100-115)
  77. ACM
    Klingauf W, Günzel R, Bringmann O, Parfuntseu P and Burton M GreenBus Proceedings of the 43rd annual Design Automation Conference, (905-910)
  78. ACM
    Jerraya A, Bouchhima A and Pétrot F Programming models and HW-SW interfaces abstraction for multi-processor SoC Proceedings of the 43rd annual Design Automation Conference, (280-285)
  79. ACM
    Martin G Overview of the MPSoC design challenge Proceedings of the 43rd annual Design Automation Conference, (274-279)
  80. ACM
    Georgelin P and Krishnaswamy V Towards a C++-based design methodology facilitating sequential equivalence checking Proceedings of the 43rd annual Design Automation Conference, (93-96)
  81. ACM
    Swan S SystemC transaction level models and RTL verification Proceedings of the 43rd annual Design Automation Conference, (90-92)
  82. Mouhoub R and Hammami O Multiprocessor on chip Proceedings of the 20th international conference on Parallel and distributed processing, (319-319)
  83. Kogel T and Braun M Virtual prototyping of embedded platforms for wireless and multimedia Proceedings of the conference on Design, automation and test in Europe: Proceedings, (488-490)
Contributors
  • STMicroelectronics SA, France

Recommendations