skip to main content
Skip header Section
MIPS RISC architecturesJanuary 1992
Publisher:
  • Prentice-Hall, Inc.
  • Division of Simon and Schuster One Lake Street Upper Saddle River, NJ
  • United States
ISBN:978-0-13-590472-5
Published:02 January 1992
Pages:
420
Skip Bibliometrics Section
Bibliometrics
Abstract

No abstract available.

Cited By

  1. ACM
    Jin Z, Pittman R and Forin A Reconfigurable custom floating-point instructions (abstract only) Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays, (287-287)
  2. ACM
    Carver J, Pittman R and Forin A Automatic bus macro placement for partially reconfigurable FPGA designs Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays, (269-272)
  3. Chen S, Kozuch M, Strigkos T, Falsafi B, Gibbons P, Mowry T, Ramachandran V, Ruwase O, Ryan M and Vlachos E Flexible Hardware Acceleration for Instruction-Grain Program Monitoring Proceedings of the 35th Annual International Symposium on Computer Architecture, (377-388)
  4. Kumar S, Kim D, Smelyanskiy M, Chen Y, Chhugani J, Hughes C, Kim C, Lee V and Nguyen A Atomic Vector Operations on Chip Multiprocessors Proceedings of the 35th Annual International Symposium on Computer Architecture, (441-452)
  5. ACM
    Chen S, Kozuch M, Strigkos T, Falsafi B, Gibbons P, Mowry T, Ramachandran V, Ruwase O, Ryan M and Vlachos E (2008). Flexible Hardware Acceleration for Instruction-Grain Program Monitoring, ACM SIGARCH Computer Architecture News, 36:3, (377-388), Online publication date: 1-Jun-2008.
  6. ACM
    Kumar S, Kim D, Smelyanskiy M, Chen Y, Chhugani J, Hughes C, Kim C, Lee V and Nguyen A (2008). Atomic Vector Operations on Chip Multiprocessors, ACM SIGARCH Computer Architecture News, 36:3, (441-452), Online publication date: 1-Jun-2008.
  7. Chen X and Maskell D (2007). Supporting multiple-input, multiple-output custom functions in configurable processors, Journal of Systems Architecture: the EUROMICRO Journal, 53:5-6, (263-271), Online publication date: 1-May-2007.
  8. Velev M Formal Verification of Pipelined Microprocessors with Delayed Branches Proceedings of the 7th International Symposium on Quality Electronic Design, (296-299)
  9. Fechner B Analysis of checksum-based execution schemes for pipelined processors Proceedings of the 20th international conference on Parallel and distributed processing, (344-344)
  10. Shinozaki A, Shima M, Guo M and Kubo M A high performance simulator system for a multiprocessor system based on a multi-way cluster Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture, (231-243)
  11. Chen X and Maskell D M2E Proceedings of the 19th international conference on Architecture of Computing Systems, (191-201)
  12. Dias J and Ramsey N Converting intermediate code to assembly code using declarative machine descriptions Proceedings of the 15th international conference on Compiler Construction, (217-231)
  13. Jaleel A and Jacob B (2006). In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs), IEEE Transactions on Computers, 55:5, (559-574), Online publication date: 1-May-2006.
  14. Kranitis N, Paschalis A, Gizopoulos D and Xenoulis G (2005). Software-Based Self-Testing of Embedded Processors, IEEE Transactions on Computers, 54:4, (461-475), Online publication date: 1-Apr-2005.
  15. Fang Z, Zhang L, Carter J, Cheng L and Parker M (2005). Fast synchronization on shared-memory multiprocessors, Journal of Parallel and Distributed Computing, 65:10, (1158-1170), Online publication date: 1-Oct-2005.
  16. ACM
    Zhou P, Qin F, Liu W, Zhou Y and Torrellas J (2004). iWatcher, ACM SIGARCH Computer Architecture News, 32:2, (224), Online publication date: 2-Mar-2004.
  17. Muraoka M, Nishi H, Morizawa R, Yokota H and Hamada H Design methodology for SoC arthitectures based on reusable virtual cores Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (256-262)
  18. Zhou P, Qin F, Liu W, Zhou Y and Torrellas J iWatcher Proceedings of the 31st annual international symposium on Computer architecture
  19. Vassiliadis S, Wong S and Cotofana S (2018). Microcode Processing, IEEE Micro, 23:4, (21-31), Online publication date: 1-Jul-2003.
  20. ACM
    Nishi H, Muraoka M, Morizawa R, Yokota H and Hamada H Synthesis for SoC architecture using VCores Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (446-452)
  21. Hahn J, Ha R, Min S and Liu J (2019). Analysis of Worst Case DMA Response Time in a Fixed-Priority Bus Arbitration Protocol, Real-Time Systems, 23:3, (209-238), Online publication date: 1-Nov-2002.
  22. ACM
    Milner C and Davidson J Quick piping Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems, (175-184)
  23. ACM
    Milner C and Davidson J (2019). Quick piping, ACM SIGPLAN Notices, 37:7, (175-184), Online publication date: 17-Jul-2002.
  24. ACM
    Witchel E, Cates J and Asanović K Mondrian memory protection Proceedings of the 10th international conference on Architectural support for programming languages and operating systems, (304-316)
  25. ACM
    Witchel E, Cates J and Asanović K (2002). Mondrian memory protection, ACM SIGPLAN Notices, 37:10, (304-316), Online publication date: 1-Oct-2002.
  26. ACM
    Witchel E, Cates J and Asanović K (2002). Mondrian memory protection, ACM SIGARCH Computer Architecture News, 30:5, (304-316), Online publication date: 1-Dec-2002.
  27. ACM
    Witchel E, Cates J and Asanović K (2002). Mondrian memory protection, ACM SIGOPS Operating Systems Review, 36:5, (304-316), Online publication date: 1-Dec-2002.
  28. Navarro J, Iyer S, Druschel P and Cox A Practical, transparent operating system support for superpages Proceedings of the 5th symposium on Operating systems design and implementation (Copyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading), (89-104)
  29. ACM
    Navarro J, Iyer S, Druschel P and Cox A (2002). Practical, transparent operating system support for superpages, ACM SIGOPS Operating Systems Review, 36:SI, (89-104), Online publication date: 31-Dec-2003.
  30. Jacob B and Mudge T (2001). Uniprocessor Virtual Memory without TLBs, IEEE Transactions on Computers, 50:5, (482-499), Online publication date: 1-May-2001.
  31. Min R and Hu Y (2001). Improving Performance of Large Physically Indexed Caches by Decoupling Memory Addresses from Cache Addresses, IEEE Transactions on Computers, 50:11, (1191-1201), Online publication date: 1-Nov-2001.
  32. ACM
    Bryant R, German S and Velev M (2001). Processor verification using efficient reductions of the logic of uninterpreted functions to propositional logic, ACM Transactions on Computational Logic (TOCL), 2:1, (93-134), Online publication date: 1-Jan-2001.
  33. ACM
    Park C, Chung J, Seong B, Roh Y and Park D Boosting superpage utilization with the shadow memory and the partial-subblock TLB Proceedings of the 14th international conference on Supercomputing, (187-195)
  34. ACM
    Ofelt D and Hennessy J Efficient performance prediction for modern microprocessors Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, (229-239)
  35. ACM
    Ofelt D and Hennessy J (2000). Efficient performance prediction for modern microprocessors, ACM SIGMETRICS Performance Evaluation Review, 28:1, (229-239), Online publication date: 1-Jun-2000.
  36. Sleipnir-An Instruction-Level Simulator Generator Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
  37. ACM
    Morsiani M and Davoli R Learning operating systems structure and implementation through the MPS computer system simulator The proceedings of the thirtieth SIGCSE technical symposium on Computer science education, (63-67)
  38. ACM
    Gschwind M Instruction set selection for ASIP design Proceedings of the seventh international workshop on Hardware/software codesign, (7-11)
  39. ACM
    Velev M and Bryant R Exploiting positive equality and partial non-consistency in the formal verification of pipelined microprocessors Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (397-401)
  40. ACM
    Morsiani M and Davoli R (1999). Learning operating systems structure and implementation through the MPS computer system simulator, ACM SIGCSE Bulletin, 31:1, (63-67), Online publication date: 1-Mar-1999.
  41. Dougan C, Mackerras P and Yodaiken V Optimizing the idle task and other MMU tricks Proceedings of the third symposium on Operating systems design and implementation, (229-237)
  42. Oskin M, Hensley J, Keen D, Chong F, Farrens M and Chopra A Exploiting ILP in page-based intelligent memory Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture, (208-218)
  43. Manohar R, Lee T and Martin A Projection Proceedings of the 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems
  44. ACM
    Govil K, Teodosiu D, Huang Y and Rosenblum M (1999). Cellular Disco, ACM SIGOPS Operating Systems Review, 33:5, (154-169), Online publication date: 12-Dec-1999.
  45. ACM
    Govil K, Teodosiu D, Huang Y and Rosenblum M Cellular Disco Proceedings of the seventeenth ACM symposium on Operating systems principles, (154-169)
  46. Jacob B and Mudge T (1998). Virtual Memory in Contemporary Microprocessors, IEEE Micro, 18:4, (60-75), Online publication date: 1-Jul-1998.
  47. ACM
    Sastry S, Palacharla S and Smith J Exploiting idle floating-point resources for integer execution Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation, (118-129)
  48. ACM
    Sastry S, Palacharla S and Smith J (1998). Exploiting idle floating-point resources for integer execution, ACM SIGPLAN Notices, 33:5, (118-129), Online publication date: 1-May-1998.
  49. ACM
    Machanick P, Salverda P and Pompe L (2019). Hardware-software trade-offs in a direct Rambus implementation of the RAMpage memory hierarchy, ACM SIGPLAN Notices, 33:11, (105-114), Online publication date: 1-Nov-1998.
  50. ACM
    Machanick P, Salverda P and Pompe L Hardware-software trade-offs in a direct Rambus implementation of the RAMpage memory hierarchy Proceedings of the eighth international conference on Architectural support for programming languages and operating systems, (105-114)
  51. ACM
    Machanick P, Salverda P and Pompe L (1998). Hardware-software trade-offs in a direct Rambus implementation of the RAMpage memory hierarchy, ACM SIGOPS Operating Systems Review, 32:5, (105-114), Online publication date: 1-Dec-1998.
  52. Luk C and Mowry T Cooperative prefetching Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture, (182-194)
  53. Araujo G, Centoducatte P, Cartes M and Pannain R Code compression based on operand factorization Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture, (194-201)
  54. Benini L, De Micheli G, Macii E, Sciuto D and Silvano C Address bus encoding techniques for system-level power optimization Proceedings of the conference on Design, automation and test in Europe, (861-867)
  55. Salapura V and Gschwind M Hardware/software co-design of a fuzzy RISC processor Proceedings of the conference on Design, automation and test in Europe, (875-882)
  56. ACM
    Albrecht T, Notbauer J and Rohringer S HW/SW coverification performance estimation and benchmark for a 24 embedded RISC core design Proceedings of the 35th annual Design Automation Conference, (808-811)
  57. Lee M, Min S, Shin H, Kim C and Park C (2019). Threaded Prefetching, Real-Time Systems, 13:1, (47-65), Online publication date: 1-Jul-1997.
  58. Wilberg J and Camposano R (1997). VLIW Processor Codesign for Video Processing, Design Automation for Embedded Systems, 2:1, (79-119), Online publication date: 1-Jan-1997.
  59. Olukotun K, Mudge T and Brown R (1997). Multilevel Optimization of Pipelined Caches, IEEE Transactions on Computers, 46:10, (1093-1102), Online publication date: 1-Oct-1997.
  60. ACM
    Taura K and Yonezawa A Fine-grain multithreading with minimal compiler support—a cost effective approach to implementing efficient multithreading languages Proceedings of the ACM SIGPLAN 1997 conference on Programming language design and implementation, (320-333)
  61. ACM
    Taura K and Yonezawa A (2019). Fine-grain multithreading with minimal compiler support—a cost effective approach to implementing efficient multithreading languages, ACM SIGPLAN Notices, 32:5, (320-333), Online publication date: 1-May-1997.
  62. ACM
    Shao Z Flexible representation analysis Proceedings of the second ACM SIGPLAN international conference on Functional programming, (85-98)
  63. ACM
    Shao Z (2019). Flexible representation analysis, ACM SIGPLAN Notices, 32:8, (85-98), Online publication date: 1-Aug-1997.
  64. ACM
    Shuler J and Bauer H Look-ahead allocation in the presence of branches Proceedings of the 1997 ACM symposium on Applied computing, (504-508)
  65. Tyson G and Austin T Improving the accuracy and performance of memory communication through renaming Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, (218-227)
  66. Martin A, Lines A, Manohar R, Nystroem M, Penzes P, Southworth R and Cummings U The Design of an Asynchronous MIPS R3000 Microprocessor Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
  67. ACM
    Eichenberger A and Davidson E A reduced multipipeline machine description that preserves scheduling constraints Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation, (12-22)
  68. ACM
    Seznec A Don't use the page number, but a pointer to it Proceedings of the 23rd annual international symposium on Computer architecture, (104-113)
  69. ACM
    Austin T and Sohi G High-bandwidth address translation for multiple-issue processors Proceedings of the 23rd annual international symposium on Computer architecture, (158-167)
  70. ACM
    Seznec A (1996). Don't use the page number, but a pointer to it, ACM SIGARCH Computer Architecture News, 24:2, (104-113), Online publication date: 1-May-1996.
  71. ACM
    Austin T and Sohi G (1996). High-bandwidth address translation for multiple-issue processors, ACM SIGARCH Computer Architecture News, 24:2, (158-167), Online publication date: 1-May-1996.
  72. ACM
    Chen P, Ng W, Chandra S, Aycock C, Rajamani G and Lowell D The Rio file cache Proceedings of the seventh international conference on Architectural support for programming languages and operating systems, (74-83)
  73. ACM
    Romer T, Lee D, Voelker G, Wolman A, Wong W, Baer J, Bershad B and Levy H The structure and performance of interpreters Proceedings of the seventh international conference on Architectural support for programming languages and operating systems, (150-159)
  74. ACM
    Machanick P (1996). The case for SRAM main memory, ACM SIGARCH Computer Architecture News, 24:5, (23-30), Online publication date: 1-Dec-1996.
  75. ACM
    Chen P, Ng W, Chandra S, Aycock C, Rajamani G and Lowell D (1996). The Rio file cache, ACM SIGOPS Operating Systems Review, 30:5, (74-83), Online publication date: 1-Dec-1996.
  76. ACM
    Romer T, Lee D, Voelker G, Wolman A, Wong W, Baer J, Bershad B and Levy H (1996). The structure and performance of interpreters, ACM SIGOPS Operating Systems Review, 30:5, (150-159), Online publication date: 1-Dec-1996.
  77. ACM
    Chen P, Ng W, Chandra S, Aycock C, Rajamani G and Lowell D (2019). The Rio file cache, ACM SIGPLAN Notices, 31:9, (74-83), Online publication date: 1-Sep-1996.
  78. ACM
    Romer T, Lee D, Voelker G, Wolman A, Wong W, Baer J, Bershad B and Levy H (2019). The structure and performance of interpreters, ACM SIGPLAN Notices, 31:9, (150-159), Online publication date: 1-Sep-1996.
  79. ACM
    Eichenberger A and Davidson E (1996). A reduced multipipeline machine description that preserves scheduling constraints, ACM SIGPLAN Notices, 31:5, (12-22), Online publication date: 1-May-1996.
  80. Conte T, Sathaye S and Banerjia S A persistent rescheduled-page cache for low overhead object code compatibility in VLIW architectures Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture, (4-13)
  81. Lim S, Bae Y, Jang G, Rhee B, Min S, Park C, Shin H, Park K, Moon S and Kim C (1995). An Accurate Worst Case Timing Analysis for RISC Processors, IEEE Transactions on Software Engineering, 21:7, (593-604), Online publication date: 1-Jul-1995.
  82. Stone J and Fitzgerald R (1995). Storage in the PowerPC, IEEE Micro, 15:2, (50-58), Online publication date: 1-Apr-1995.
  83. ACM
    Bailey M and Davidson J A formal model and specification language for procedure calling conventions Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (298-310)
  84. ACM
    Proebsting T Optimizing an ANSI C interpreter with superoperators Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (322-332)
  85. ACM
    Shyu I and Shieh S (1995). Virtual address translation for wide-address architectures, ACM SIGOPS Operating Systems Review, 29:4, (37-46), Online publication date: 1-Oct-1995.
  86. ACM
    Romer T, Ohlrich W, Karlin A and Bershad B Reducing TLB and memory overhead using online superpage promotion Proceedings of the 22nd annual international symposium on Computer architecture, (176-187)
  87. ACM
    Romer T, Ohlrich W, Karlin A and Bershad B (1995). Reducing TLB and memory overhead using online superpage promotion, ACM SIGARCH Computer Architecture News, 23:2, (176-187), Online publication date: 1-May-1995.
  88. Motomura M, Inoue T, Torii S and Konagaya A Ordered multithreading Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, (37-48)
  89. Ahuja P, Clark D and Rogers A The performance impact of incomplete bypassing in processor pipelines Proceedings of the 28th annual international symposium on Microarchitecture, (36-45)
  90. Austin T and Sohi G Zero-cycle loads Proceedings of the 28th annual international symposium on Microarchitecture, (82-92)
  91. Davidson J and Jinturkar S Improving instruction-level parallelism by loop unrolling and dynamic memory disambiguation Proceedings of the 28th annual international symposium on Microarchitecture, (125-132)
  92. Casavant A Balancing structural hazards and hardware cost of pipelined processors Proceedings of the 1995 European conference on Design and Test
  93. Temam O and Drach N Software assistance for data caches Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
  94. Seznec A DASC cache Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
  95. ACM
    Talluri M, Hill M and Khalidi Y (1995). A new page table for 64-bit address spaces, ACM SIGOPS Operating Systems Review, 29:5, (184-200), Online publication date: 3-Dec-1995.
  96. ACM
    Talluri M, Hill M and Khalidi Y A new page table for 64-bit address spaces Proceedings of the fifteenth ACM symposium on Operating systems principles, (184-200)
  97. ACM
    Liedtke J (1994). A short note on implementing “new” machine instructions by software for efficient test of page accessibility, ACM SIGOPS Operating Systems Review, 28:1, (61-65), Online publication date: 1-Jan-1994.
  98. ACM
    Diwan A, Tarditi D and Moss E Memory subsystem performance of programs using copying garbage collection Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (1-14)
  99. ACM
    Proebsting T and Fraser C Detecting pipeline structural hazards quickly Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (280-286)
  100. ACM
    Temam O and Jegou Y Using virtual lines to enhance locality exploitation Proceedings of the 8th international conference on Supercomputing, (344-352)
  101. ACM
    Temam O, Fricker C and Jalby W Cache interference phenomena Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, (261-271)
  102. ACM
    Temam O, Fricker C and Jalby W (2019). Cache interference phenomena, ACM SIGMETRICS Performance Evaluation Review, 22:1, (261-271), Online publication date: 1-May-1994.
  103. Seznec A Decoupled sectored caches Proceedings of the 21st annual international symposium on Computer architecture, (384-393)
  104. ACM
    Seznec A (1994). Decoupled sectored caches, ACM SIGARCH Computer Architecture News, 22:2, (384-393), Online publication date: 1-Apr-1994.
  105. ACM
    Golden M and Mudge T A comparison of two pipeline organizations Proceedings of the 27th annual international symposium on Microarchitecture, (153-161)
  106. ACM
    Franklin M and Smotherman M A fill-unit approach to multiple instruction issue Proceedings of the 27th annual international symposium on Microarchitecture, (162-171)
  107. ACM
    Skudlarek J (1994). Remarks on A methodology for implementing highly concurrent data, ACM SIGPLAN Notices, 29:12, (87-93), Online publication date: 1-Dec-1994.
  108. ACM
    Thekkath C and Levy H (1994). Hardware and software support for efficient exception handling, ACM SIGPLAN Notices, 29:11, (110-119), Online publication date: 1-Nov-1994.
  109. ACM
    Talluri M and Hill M (1994). Surpassing the TLB performance of superpages with less operating system support, ACM SIGPLAN Notices, 29:11, (171-182), Online publication date: 1-Nov-1994.
  110. ACM
    Engler D and Proebsting T (1994). DCG, ACM SIGPLAN Notices, 29:11, (263-272), Online publication date: 1-Nov-1994.
  111. ACM
    Thekkath C and Levy H Hardware and software support for efficient exception handling Proceedings of the sixth international conference on Architectural support for programming languages and operating systems, (110-119)
  112. ACM
    Talluri M and Hill M Surpassing the TLB performance of superpages with less operating system support Proceedings of the sixth international conference on Architectural support for programming languages and operating systems, (171-182)
  113. ACM
    Engler D and Proebsting T DCG Proceedings of the sixth international conference on Architectural support for programming languages and operating systems, (263-272)
  114. ACM
    Casavant A MIST—a design aid for programmable pipelined processors Proceedings of the 31st annual Design Automation Conference, (532-536)
  115. ACM
    Thekkath C and Levy H (1994). Hardware and software support for efficient exception handling, ACM SIGOPS Operating Systems Review, 28:5, (110-119), Online publication date: 1-Dec-1994.
  116. ACM
    Talluri M and Hill M (1994). Surpassing the TLB performance of superpages with less operating system support, ACM SIGOPS Operating Systems Review, 28:5, (171-182), Online publication date: 1-Dec-1994.
  117. ACM
    Engler D and Proebsting T (1994). DCG, ACM SIGOPS Operating Systems Review, 28:5, (263-272), Online publication date: 1-Dec-1994.
  118. Chen S, Fuchs W and Hwu W An Analytical Approach to Scheduling Code for Superscalar and VLIW Architectures Proceedings of the 1994 International Conference on Parallel Processing - Volume 01, (285-292)
  119. Katcher D, Arakawa H and Strosnider J (2019). Engineering and Analysis of Fixed Priority Schedulers, IEEE Transactions on Software Engineering, 19:9, (920-934), Online publication date: 1-Sep-1993.
  120. ACM
    Sites R (1993). Alpha AXP architecture, Communications of the ACM, 36:2, (33-44), Online publication date: 1-Feb-1993.
  121. ACM
    Wahbe R, Lucco S and Graham S Practical data breakpoints Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation, (1-12)
  122. ACM
    Ball T and Larus J Branch prediction for free Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation, (300-313)
  123. ACM
    Nagle D, Uhlig R, Stanley T, Sechrest S, Mudge T and Brown R Design tradeoffs for software-managed TLBs Proceedings of the 20th annual international symposium on computer architecture, (27-38)
  124. ACM
    Seznec A A case for two-way skewed-associative caches Proceedings of the 20th annual international symposium on computer architecture, (169-178)
  125. ACM
    Jégou Y and Temam O Speculative prefetching Proceedings of the 7th international conference on Supercomputing, (57-66)
  126. ACM
    Wahbe R, Lucco S and Graham S (2019). Practical data breakpoints, ACM SIGPLAN Notices, 28:6, (1-12), Online publication date: 1-Jun-1993.
  127. ACM
    Ball T and Larus J (2019). Branch prediction for free, ACM SIGPLAN Notices, 28:6, (300-313), Online publication date: 1-Jun-1993.
  128. ACM
    Nagle D, Uhlig R, Stanley T, Sechrest S, Mudge T and Brown R (1993). Design tradeoffs for software-managed TLBs, ACM SIGARCH Computer Architecture News, 21:2, (27-38), Online publication date: 1-May-1993.
  129. ACM
    Seznec A (1993). A case for two-way skewed-associative caches, ACM SIGARCH Computer Architecture News, 21:2, (169-178), Online publication date: 1-May-1993.
  130. Stanley T, Upton M, Sherhart P, Mudge T and Brown R A microarchitectural performance evaluation of a 3.2 Gbyte/s microprocessor bus Proceedings of the 26th annual international symposium on Microarchitecture, (31-40)
  131. Drach N and Seznec A MIDEE Proceedings of the 26th annual international symposium on Microarchitecture, (193-201)
  132. Stone J, Stone H, Heidelberger P and Turek J (1993). Multiple Reservations and the Oklahoma Update, IEEE Parallel & Distributed Technology: Systems & Technology, 1:4, (58-71), Online publication date: 1-Nov-1993.
  133. ACM
    Olukotun K, Mudge T and Brown R Performance optimization of pipelined primary cache Proceedings of the 19th annual international symposium on Computer architecture, (181-190)
  134. ACM
    Wahbe R Efficient data breakpoints Proceedings of the fifth international conference on Architectural support for programming languages and operating systems, (200-212)
  135. ACM
    Wahbe R (2019). Efficient data breakpoints, ACM SIGPLAN Notices, 27:9, (200-212), Online publication date: 1-Sep-1992.
  136. ACM
    Wolfe A and Chanin A (2019). Executing compressed programs on an embedded RISC architecture, ACM SIGMICRO Newsletter, 23:1-2, (81-91), Online publication date: 10-Dec-1992.
  137. ACM
    Kato T, Ono T and Bagherzadeh N (1992). Performance analysis and design methodology for a scalable superscalar architecture, ACM SIGMICRO Newsletter, 23:1-2, (246-255), Online publication date: 10-Dec-1992.
  138. ACM
    Olukotun K, Mudge T and Brown R (2019). Performance optimization of pipelined primary cache, ACM SIGARCH Computer Architecture News, 20:2, (181-190), Online publication date: 1-May-1992.
  139. Wolfe A and Chanin A Executing compressed programs on an embedded RISC architecture Proceedings of the 25th annual international symposium on Microarchitecture, (81-91)
  140. Kato T, Ono T and Bagherzadeh N Performance analysis and design methodology for a scalable superscalar architecture Proceedings of the 25th annual international symposium on Microarchitecture, (246-255)
Contributors

Recommendations

Lanfranco Lopriore

MIPS is an application of the reduced instruction set computer (RISC) concept to the design of a microprocessor architecture. At present, this architecture is embodied by the R-Series (R2000, R3000, R6000, and the new R4000) processors. This book is a reference manual for the MIPS instruction set architecture and its implementation. Chapter 1 briefly discusses the salient characteristics of RISC architectures as opposed to complex instruction set computer (CISC) architectures. The issues considered include instruction pipelines, delayed load and delayed branch instructions, and instruction operation times. The importance of optimizing compiler techniques is stressed from the viewpoint of processor performance in terms of the program execution time. Chapter 2 introduces the MIPS processor architecture by reviewing a number of salient aspects of the R-Series processors. These include the instruction set, the pipeline structure, and the hierarchical organization of the memory system. The subsequent chapters treat these issues in depth. Chapter 3 deals specifically with the CPU instruction set. It illustrates the MIPS instruction formats and classifies the instructions into load and store, computational, jump and branch, and special instructions. The instructions in each class are briefly presented; the reader can refer to the 144-page Appendix A for a detailed description of the operation of each instruction. Chapter 4 describes the memory system architecture. The R-Series processors provide a memory management unit mapping a 2 32 -byte virtual address space into the physical memory space. Each processor features user and kernel operating modes. The kernel mode is reserved for the operating system. The R4000 processor provides a third mode, the supervisor mode, which is reserved for those components of the operating system that are not part of the kernel. The processor can access the entire virtual address space only when it operates in the kernel mode; in the supervisor and user modes, portions of the virtual space are not available. Virtual to physical address translation uses a translation lookaside buffer located either on-chip or (in the R6000) off-chip in a secondary cache. Chapter 5 deals with the cache memory system. This important component of the MIPS architecture is aimed at increasing the memory bandwidth to take full advantage of the high-performance RISC design. The MIPS processors use separate caches for instructions and data. Cache separation makes it possible to tailor the cache design to suit the different memory reference patterns, and introduces a form of address associativity. The instruction and data caches are on-chip in the R4000. This processor and the R6000 support an optional, off-chip secondary cache as well. Chapter 6 describes the types of exception that are handled by the MIPS processors and the actions performed as a consequence of the occurrence of an exception of each type. The architecture includes a floating-point unit (FPU), which is implemented directly on the R4000 chip and is embodied by the R2010, R3010, and R6000 floating-point accelerators for the other R-Series processors. The FPU operates as a coprocessor for the CPU. It conforms to ANSI/IEEE Standard 754-1985 in both single-precision (32-bit) and double-precision (64-bit) formats. Chapter 7 describes the FPU capabilities and programming model, paying special attention to the data representation and the FPU registers. The FPU features an instruction pipeline that parallels the CPU instruction pipeline. Chapter 8 illustrates this aspect of the FPU architecture and the FPU instruction set (Appendix B contains a detailed description of the operation of each FPU instruction). Finally, chapter 9 deals with the floating-point exceptions and exception trap processing. The book includes six appendices that detail several important aspects of the MIPS architecture. Appendices A and B are mentioned above. Appendix C gives a number of machine-language programming tips. Examples are the simulation of instructions and addressing modes that are not part of the MIPS instruction set architecture, and the detection of a carry, a borrow, or an overflow resulting from an arithmetic operation (the MIPS architecture does not include the carry and overflow status bits). Appendix D surveys the MIPS assembly language. Not all assembly language instructions have a direct machine language equivalent; the assembler synthesizes some assembly language instructions using sequences of machine instructions. Appendix E considers conformity of the MIPS floating-point coprocessor architecture with the requirements and recommendations of the IEEE standard. Finally, Appendix F contains a guide for the programmer to avoid those hazards connected with the instruction pipelining that, according to the MIPS architecture specifications, need not be detected and corrected by the hardware. Being a reference manual, the book concentrates on the description of the MIPS architecture. It places little emphasis on the motivations for the design choices that have been made among the various alternatives available within the RISC paradigm. The short analysis of the relevant issues in chapter 1 focuses on the differences between the RISC and CISC concepts. The discussion is often superficial; it will be of little help for readers not acquainted with the RISC concept, and almost useless for specialists. Unfortunately, no suggestions for further readings are given (see Patterson and Hennessy [1] and Tabak [2]). The exposition is often complicated by the fact that the different MIPS processors are presented in parallel within the chapters. A different organization of the book, separating the material relevant to each processor, might have made it easier to read. The salient advantage of intermixed presentation, that is, easier comparison of the various implementation choices, is almost never exploited. In fact, the book pays little attention to a comparative analysis of the performances of the different processors. Of course, limitations of this type are almost inevitable in a reference manual. Nonetheless, they reduce the range of the potential readers, essentially, to the computer professional involved in the development of a MIPS-based machine, and the specialist in RISC technology who wishes to obtain a deep insight into an important example of this technology.

Access critical reviews of Computing literature here

Become a reviewer for Computing Reviews.