skip to main content
article
Free Access

Temperature-aware microarchitecture: Modeling and implementation

Published:01 March 2004Publication History
Skip Abstract Section

Abstract

With cooling costs rising exponentially, designing cooling solutions for worst-case power dissipation is prohibitively expensive. Chips that can autonomously modify their execution and power-dissipation characteristics permit the use of lower-cost cooling solutions while still guaranteeing safe temperature regulation. Evaluating techniques for this dynamic thermal management (DTM), however, requires a thermal model that is practical for architectural studies.This paper describes HotSpot, an accurate yet fast and practical model based on an equivalent circuit of thermal resistances and capacitances that correspond to microarchitecture blocks and essential aspects of the thermal package. Validation was performed using finite-element simulation. The paper also introduces several effective methods for DTM: "temperature-tracking" frequency scaling, "migrating computation" to spare hardware units, and a "hybrid" policy that combines fetch gating with dynamic voltage scaling. The latter two achieve their performance advantage by exploiting instruction-level parallelism, showing the importance of microarchitecture research in helping control the growth of cooling costs.Modeling temperature at the microarchitecture level also shows that power metrics are poor predictors of temperature, that sensor imprecision has a substantial impact on the performance of DTM, and that the inclusion of lateral resistances for thermal diffusion is important for accuracy.

References

  1. Bakker, A. and Huijsing, J. 2000. High-Accuracy CMOS Smart Temperature Sensors. Kluwer Academic, Boston, MA.]]Google ScholarGoogle Scholar
  2. Bannon, P. 2002. Personal communication.]]Google ScholarGoogle Scholar
  3. Benedek, Z., Courtois, B., Farkas, G., Kollár, E., Mir, S., Poppe, A., Rencz, M., Székely, V., and Torki, K. 2001. A scalable multi-functional thermal test chip family: Design and evaluation. Transactions of the ASME, Journal of Electronic Packaging 123, 4 (Dec.), 323--330.]]Google ScholarGoogle ScholarCross RefCross Ref
  4. Borkar, S. 1999. Design challenges of technology scaling. IEEE Micro 19, 4 (Jul.--Aug.), 23--29.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Brooks, D. and Martonosi, M. 2001. Dynamic thermal management for high-performance microprocessors. In Proceedings of the Seventh International Symposium on High-Performance Computer Architecture, 171--182.]] Google ScholarGoogle Scholar
  6. Brooks, D., Tiwari, V., and Martonosi, M. 2000. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the 27th Annual International Symposium on Computer Architecture, 83--94.]] Google ScholarGoogle Scholar
  7. Burger, D. C. and Austin, T. M. 1997. The SimpleScalar tool set, version 2.0. Computer Architecture News 25, 3 (June), 13--25.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Canal, R., Parcerisa, J.-M., and González, A. 1999. A cost-effective clustered architecture. In Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, 160--168.]] Google ScholarGoogle Scholar
  9. Dhodapkar, A., Lim, C. H., Cai, G., and Daasch, W. R. 2000. TEMPEST: A thermal enabled multi-model power/performance estimator. In Proceedings of the Workshop on Power-Aware Computer Systems.]] Google ScholarGoogle Scholar
  10. Fleischmann, M. 2000. Crusoe power management: Cutting ×86 operating power through LongRun. In Embedded Processor Forum.]]Google ScholarGoogle Scholar
  11. Garrett, J. and Stan, M. R. 2001. Active threshold compensation circuit for improved performance in cooled CMOS systems. In Proceedings of the International Symposium on Circuits and Systems, 410--413.]]Google ScholarGoogle Scholar
  12. Gunther, S., Binns, F., Carmean, D. M., and Hall, J. C. 2001. Managing the impact of increasing microprocessor power consumption. In Intel Technology Journal.]]Google ScholarGoogle Scholar
  13. Heo, S., Barr, K., and Asanovic, K. 2003. Reducing power density through activity migration. In Proceedings of the 2003 International Symposium on Low Power Electronics and Design.]] Google ScholarGoogle Scholar
  14. Huang, W., Renau, J., Yoo, S.-M., and Torellas, J. 2000. A framework for dynamic energy efficiency and temperature management. In Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 202--213.]] Google ScholarGoogle Scholar
  15. Krum, A. 2000. Thermal management. In The CRC Handbook of Thermal Engineering, F. Kreith, Ed. CRC Press, Boca Raton, FL, 2.1--2.92.]]Google ScholarGoogle Scholar
  16. Lee, S., Song, S., Au, V., and Moran, K. 1995. Constricting/spreading resistance model for electronics packaging. In Proceedings of the ASME/JSME Thermal Engineering Conference, 199--206.]]Google ScholarGoogle Scholar
  17. Li, Y., Parikh, D., Zhang, Y., Sankaranarayanan, K., Skadron, K., and Stan, M. 2004. State-preserving vs. non-state preserving leakage control in caches. In Proceedings of the 2004 Design, Automation and Test in Europe Conference, to appear.]] Google ScholarGoogle Scholar
  18. Lim, C.-H., Daasch, W., and Cai, G. 2002. A thermal-aware superscalar microprocessor. In Proceedings of the International Symposium on Quality Electronic Design, 517--522.]] Google ScholarGoogle Scholar
  19. Mahajan, R. 2002. Thermal management of CPUs: A perspective on trends, needs and opportunities. Keynote presentation at the 8th Int'l Workshop on THERMal INvestigations of ICs and Systems.]]Google ScholarGoogle Scholar
  20. Robertson, J. 2002. Intel hints of next-generation security technology for mpus. EE Times.]]Google ScholarGoogle Scholar
  21. Rohou, E. and Smith, M. 1999. Dynamically managing processor temperature and power. In Proceedings of the 2nd Workshop on Feedback-Directed Optimization.]]Google ScholarGoogle Scholar
  22. Sabry, M.-N. 2002. Dynamic compact thermal models: An overview of current and potential advances. In Proceedings of the 8th Int'l Workshop on THERMal INvestigations of ICs and Systems. Invited paper.]]Google ScholarGoogle Scholar
  23. Sanchez, H. et al. 1997. Thermal management system for high-performance PowerPC microprocessors. In COMPCON, 325.]] Google ScholarGoogle Scholar
  24. Semeraro, G., Magklis, G., Balasubramonian, R., Albonesi, D. H., Dwarkadas, S., and Scott, M. L. 2002. Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling. In Proceedings of the Eighth International Symposium on High-Performance Computer Architecture, 29--40.]] Google ScholarGoogle Scholar
  25. Sherwood, T., Perelman, E., and Calder, B. 2001. Basic block distribution analysis to find periodic behavior and simulation points in applications. In Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques, 3--14.]] Google ScholarGoogle Scholar
  26. SIA 2001. International Technology Roadmap for Semiconductors. SIA.]]Google ScholarGoogle Scholar
  27. Skadron, K. 2004. Hybrid architectural dynamic thermal management. In Proceedings of the 2004 Design, Automation and Test in Europe Conference, to appear.]] Google ScholarGoogle Scholar
  28. Skadron, K., Abdelzaher, T., and Stan, M. R. 2002. Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management. In Proceedings of the Eighth International Symposium on High-Performance Computer Architecture, 17--28.]] Google ScholarGoogle Scholar
  29. Skadron, K., Stan, M. R., Huang, W., Velusamy, S., Sankaranarayanan, K., and Tarjan, D. 2003a. Temperature-aware microarchitecture. In Proceedings of the 30th Annual International Symposium on Computer Architecture, 2--13.]] Google ScholarGoogle Scholar
  30. Skadron, K., Stan, M. R., Huang, W., Velusamy, S., Sankaranarayanan, K., and Tarjan, D. 2003b. Temperature-aware microarchitecture: Extended discussion and results. Tech. Rep. CS-2003-08, University of Virginia Department of Computer Science. Apr.]]Google ScholarGoogle Scholar
  31. Skadron, K., Stan, M. R., Huang, W., Velusamy, S., Sankaranarayanan, K., and Tarjan, D. 2003c. Temperature-aware computer systems: Opportunities and challenges. IEEE Micro 23, 6 (Nov.--Dec.), 52--61.]]Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Srinivasan, J. and Adve, S. V. 2003. Predictive dynamic thermal management for multimedia applications. In Proceedings of the 2003 International Conference on Supercomputing, 109--120.]] Google ScholarGoogle Scholar
  33. Stan, M. R., Skadron, K., Barcella, M., Huang, W., Sankaranarayanan, K., and Velusamy, S. 2003. Hotspot: A dynamic compact thermal model at the processor-architecture level. Microelectronics Journal: Circuits and Systems 34, 12 (Dec.), 1153--1165.]]Google ScholarGoogle Scholar
  34. Standard Performance Evaluation Corporation. SPEC CPU2000 Benchmarks. http://www.specbench.org/osg/cpu2000.]]Google ScholarGoogle Scholar
  35. Viswanath, R., Vijay, W., Watwe, A., and Lebonheur, V. 2000. Thermal performance challenges from silicon to systems. Intel Technology Journal.]]Google ScholarGoogle Scholar

Index Terms

  1. Temperature-aware microarchitecture: Modeling and implementation

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Architecture and Code Optimization
      ACM Transactions on Architecture and Code Optimization  Volume 1, Issue 1
      March 2004
      125 pages
      ISSN:1544-3566
      EISSN:1544-3973
      DOI:10.1145/980152
      Issue’s Table of Contents

      Copyright © 2004 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 1 March 2004
      Published in taco Volume 1, Issue 1

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • article

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader