skip to main content
10.1145/360128.360141acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article
Free Access

Accurate and efficient predicate analysis with binary decision diagrams

Authors Info & Claims
Published:01 December 2000Publication History
First page image
Skip Supplemental Material Section

Supplemental Material

References

  1. 1.Intel Corporation, IA-64 Application Developer's Architecture Guide, May 1999.Google ScholarGoogle Scholar
  2. 2.J. C. Park and M. S. Schlansker, On predicated execution, Tech. Rep. HPL-91-58, Hewlett Packard Laboratories, Palo Alto, CA, May 1991.Google ScholarGoogle Scholar
  3. 3.S. A. Mahlke, D. C. Lin, W. Y. Chen, R. E. Hank, R. A. Bringmann, and W. W. Hwu, Effective compiler support for predicated execution using the hyperblock, in Proceedings of the 25th International Symposium on Microarchitecture, pp. 45-54, December 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.A. E. Eichenberger and E. S. Davidson, Register allocation for predicated code, in Proceedings of the 28th Annual International Symposium on Microarchitecture, pp. 180-191, December 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. 5.D. M. Gillies, D. R. Ju, R. Johnson, and M. Schlansker, Global predicate analysis and its application to register allocation, in Proceedings of the 29th International Symposium on Microarchitecture, pp. 114-125, December 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.D. I. August, W. W. Hwu, and S. A. Mahlke, A framework for balancing control flow and predication, in Proceedings of the 30th Annual International Symposium on Microarchitecture, pp. 92-103, December 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.D. I. August, D. A. Connors, S. A. Mahlke, J. W. Sias, K. M. Crozier, B. Cheng, P. R. Eaton, Q. B. Olaniran, and W. W. Hwu, Integrated predication and speculative execution in the IMPACT EPIC architecture,in Proceedings of the 25th International Symposium on Computer Architecture, pp. 227-237, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.L. Carter, B. Simon, B. Calder, L. Carter, and J. Ferrante, Predicated single static assignment, in Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, October 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.R. Johnson and M. Schlansker, Analysis techniques for predicated code, in Proceedings of the 29th International Symposium on Microarchitecture, pp. 100-113, December 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.D. I. August, J. W. Sias, J. Puiatti, S. A. Mahlke, D. A. Connors, K. M. Crozier, and W. W. Hwu, The program decision logic approach to predicated execution, in Proceedings of the 26th International Symposium on Computer Architecture, pp. 208-219, May 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.A. Srivastava, Vulcan, Tech. Rep. TR-99-76, Microsoft Research, September 1999.Google ScholarGoogle Scholar
  12. 12.V. Kathail, M. S. Schlansker, and B. R. Rau, HPL PlayDoh architecture specification: Version 1.0, Tech. Rep. HPL-93-80, Hewlett- Packard Laboratories, Palo Alto, CA, February 1994.Google ScholarGoogle Scholar
  13. 13.M. S. Schlansker, S. A. Mahlke, and R. Johnson, Control CPR: A branch height reduction optimization for EPIC architectures, in Proceedings of the ACM SIGPLAN 1999 Conference on Programming Language Design and Implementation, pp. 155-168, May 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. 14.A. Aho, R. Sethi, and J. Ullman, Compilers: Principles, Techniques, and Tools. Reading, MA: Addison-Wesley, 1986. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.R. E. Bryant, Graph-based algorithms for Boolean function manipulation, IEEE Transaction on Computers, vol. C-35, pp. 677-691, August 1986. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. 16.F. Somenzi, CUDD: Colorado University Decision Diagram package, release 2.30, University of Colorado at Boulder, http://vlsi.colorado.edu/fabio/CUDD/, 1998.Google ScholarGoogle Scholar
  17. 17.K. S. Brace, R. R. Rudell, and R. E. Bryant, Efficent implementation of a BDD package, in Proc. of the 27th ACM/IEEE Design Automation Conference, pp. 40-45, January 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. 18.J. W. Sias, Condition awareness support for predicate analysis and optimization, Master's thesis, University of Illinois, Urbana, IL, 1999.Google ScholarGoogle Scholar
  19. 19.R. E. Bryant, Symbolic Boolean manipulation with ordered binary decision diagrams, Tech. Rep. CMU-CS-92-160, School of Computer Science, Carnegie Mellon University, Pittsburgh, PA, October 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. 20.P. Tu and D. Padua, Gated SSA-based demand-driven symbolic analysis for parallelizing compilers, in Conference proceedings of the 1995 International Conference on Supercomputing, pp. 414-423, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. 21.S. B. Akers, Binary decision diagrams, IEEE Transaction on Computers, ol. C-27, pp. 509-516, June 1978.Google ScholarGoogle Scholar
  22. 22.S. J. Friedman and K. J. Supowit, Finding the optimal variable ordering for binary decision diagrams, in Proc. 24th Annual ACM/IEEE DAC, pp. 348-355, June 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. 23.C. L. Berman, Circuit width, register allocation, and ordered binary decision diagrams, IEEE Transactions on Computer-Aided Design, vol. 10, pp. 1059-1066, August 1991.Google ScholarGoogle ScholarCross RefCross Ref
  24. 24.R. Rudell, Dynamic variable ordering for ordered binary decision diagrams, in Proceedings of the International Conference on Computer-Aided Design, pp. 42-47, November 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Accurate and efficient predicate analysis with binary decision diagrams

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Conferences
              MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
              December 2000
              357 pages
              ISBN:1581131968
              DOI:10.1145/360128

              Copyright © 2000 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 1 December 2000

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • Article

              Acceptance Rates

              MICRO 33 Paper Acceptance Rate31of110submissions,28%Overall Acceptance Rate484of2,242submissions,22%

              Upcoming Conference

              MICRO '24

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader