Supplemental Material
Available for Download
- 1.M. Butler and Y. Patt, An investigation of the performance of various dynamic scheduling techniques, in Proceedings of the 25th Annual ACM/IEEE International Symposium on Microarchitecture, 1992. Google ScholarDigital Library
- 2.IA-32 Intel Architecture Software Developer's Manual With Preliminary Willamette Architecture Information Volume 1: Basic Architecture, Intel Corporation, 2000.Google Scholar
- 3.S. Palacharla, N. P. Jouppi, and J. E. Smith, Complexity-effective superscalar processors, in Proceedings of the 24th Annual International Symposium on Computer Architecture, 1997. Google ScholarDigital Library
- 4.R. M. Tomasulo, An efficient algorithm for exploiting multiple arithmetic units, IBM Journal of Research and Development, vol. 11, pp. 2533, January 1967.Google ScholarDigital Library
- 5.K. C. Yeager, The MIPS R10000 superscalar microprocessor, IEEE Micro, vol. 16, no. 2, pp. 2841, April 1996. Google ScholarDigital Library
- 6.A. Yu, Client Architecture for the New Millennium, Intel Corporation, February 2000. Spring 2000 Intel Developer Forum Keynote Presentation.Google Scholar
Index Terms
- On pipelining dynamic instruction scheduling logic
Recommendations
Dynamic instruction scheduling in a trace-based multi-threaded architecture
Simulation results are presented using the hardware-implemented, trace-based dynamic instruction scheduler of our single process DTSVLIW architecture to schedule instructions from several processes into multiple streams of VLIW instructions for ...
Energy-efficient dynamic instruction scheduling logic through instruction grouping
Dynamic instruction scheduling logic is quite complex and dissipates significant energy in microprocessors that support superscalar and out-of-order execution. We propose a novel microarchitectural technique to reduce the complexity and energy ...
Energy-efficient dynamic instruction scheduling logic through instruction grouping
ISLPED '06: Proceedings of the 2006 international symposium on Low power electronics and designDynamic instruction scheduling logic is quite complex and dissipates significant energy in microprocessors that support superscalar and out-of-order execution. We propose a novel microarchitectural technique to reduce the complexity and energy ...
Comments