skip to main content
10.1145/279358.279391acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article
Free Access

Integrated predicated and speculative execution in the IMPACT EPIC architecture

Authors Info & Claims
Published:16 April 1998Publication History

ABSTRACT

Explicitly Parallel Instruction Computing (EPIC) architectures require the compiler to express program instruction level parallelism directly to the hardware. EPIC techniques which enable the compiler to represent control speculation, data dependence speculation, and predication have individually been shown to be very effective. However, these techniques have not been studied in combination with each other. This paper presents the IMPACT EPIC Architecture to address the issues involved in designing processors based on these EPIC concepts. In particular, we focus on new execution and recovery models in which microarchitectural support for predicated execution is also used to enable efficient recovery from exceptions caused by speculatively executed instructions. This paper demonstrates that a coherent framework to integrate the three techniques can be elegantly designed to achieve much better performance than each individual technique could alone provide.

References

  1. 1.J. R. Allen, K. Kennedy, C. Porterfield, and J. Warren. Conversion of control dependence to data dependence. In Proceedings of the lOth ACM Symposium on Principles of Programming Languages, pages 177-189, January 1983. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. 2.D. I. August, K. M. Crozier, J. W. Sias, P. R. Eaton, Q. B. Olaniran, D. A. Connors, and W. W. Hwu. The IMPACT EPIC 1.0 Architecture and Instruction Set reference manual. Technical Report IMPACT-98-04, IMPACT, University of Illinois, Urbana, IL, February 1998.Google ScholarGoogle Scholar
  3. 3.R. A. Bringmann, S. A. Mahlke, R. E. Hank, J. C. Gyllenhaal, and W. W. Hwu. Speculative execution exception recovery using write-back suppression. In Proceedings of 26th Annual lnt'l Symposium on Microarchitecture, December 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.R.P. Colwell, R. P. Nix, J. J. O' Donnell, D. B. Papworth, and P. K. Rodrnan. A VLIW architecture for a trace scheduling compiler. In Proceedings of the 2nd International Conference on Architectural Support for Programming Languages and Operating Systems, pages 180-192, April 1987. Google ScholarGoogle ScholarCross RefCross Ref
  5. 5.J. H. Crawford. The i486 CPU: Executing instructions in one clock cycle. IEEE Micro, pages 27-36, February 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.J. H. Edmondson, P. Rubinfeld, R. Preston, and V. Rajagopalan. Superscalar instruction execution in the 21164 Alpha microprocessor. IEEE Micro, pages 33-43, April 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.M . Forsyth, S. Mangelsdorf, E. Delano, C. Gleason, and J. Yetter. CMOS PA-RISC processor for a new family of workstations. In Proceedings of COMPCON, pages 202- 207, February 1991.Google ScholarGoogle ScholarCross RefCross Ref
  8. 8.D.M. Gallagher, W. Y. Chen, S. A. Mahlke, J. C. Gyllenhaal, and W. W. Hwu. Dynamic memory disambiguation using the memory conflict buffer. In Proceedings of 6th International Conference on Architectual Support for Programming Languages and Operating Systems, pages 183-193, October 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.J. Gonzalez and A. Gonzalez. Speculative execution via address prediction and data prefetching. In Proceedings of the 1997 International Conference on Supercomputing, pages 196-203, July 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.L. Gwennap. InteI, HP make EPIC disclosure. Microprocessor Report, 11 ( 14): 1-9, October 1997.Google ScholarGoogle Scholar
  11. 11.P. Y. Hsu and E. S. Davidson. Highly concurrent scalar processing. In Proceedings of the 13th International Symposium on Computer Architecture, pages 386-395, June 1986. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. 12.V. Kathail, M. S. Schlansker, and B. R. Rau. HPL Play- Doh architecture specification: Version 1.0. Technical Report HPL-93-80, Hewlett-Packard Laboratories, Palo Alto, CA, February 1994.Google ScholarGoogle Scholar
  13. 13.T. Kiyohara, W. W. Hwu, and W. Chen. Memory conflict buffer for achieving memory disambiguation in compiletime code schedule. United States Patent No. 5,694,577. December 1997.Google ScholarGoogle Scholar
  14. 14.S. A. Mahlke, W. Y. Chen, R. A. Bringmann, R. E. Hank, W. W. Hwu, B. R. Rau, and M. S. Schlansker. Sentinel scheduling: A model for compiler-controlled speculative execution. ACM Transactions on Computer Systems, 11(4), November 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.S. A. Mahlke, R. E. Hank, R. A. Bringmann, J. C. Gyllenhaal, D. M. Gallagher, and W. W. Hwu. Characterizing the impact of predicated execution on branch prediction. In Proceedings of the 27th International Symposium on Microarchitecture, pages 217-227, December 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. 16.S. A. Mahlke, R. E. Hank, J. McCormick, D. I. August, and W. W. Hwu. A comparison of full and partial predicated execution support for ILP processors. In Proceedings of the 22th International Symposium on Computer Architecture, pages 138-150, June 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. 17.A. Moshovos, S. E. Breach, T. N. Vijaykumar, and G. S. S ohi. Dynamic speculation and synchronization of data dependences. In Proceedings of the 1997 International Symposium on Computer Architecture, pages 181-193, June 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. 18.J. C. Park and M. S. Schlansker. On predicated execution. Technical Report HPL-91-58, Hewlett Packard Laboratories, Palo Alto, CA, May 1991.Google ScholarGoogle Scholar
  19. 19.D. N. Pnevmatikatos and G. S. Sohi. Guarded execution and branch prediction in dynamic ILP processors. In Proceedings of the 21st International Symposium on Computer Architecture, pages 120-129, April 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. 20.B. R. Rau, D. W. L. Yen, W. Yen, and R. A. Towle. The Cydra 5 departmental supercomputer. IEEE Computer, 22( 1 ): 12-35, January 1989. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. 21.M. D. Smith. Architectural support for compile-time speculation. In The Interaction of Compilation Technology and Computer Architecture, pages 13-49. Kluwer Academic Publishers, Boston, MA, 1994.Google ScholarGoogle ScholarCross RefCross Ref
  22. 22.M. D. Smith, M. S. Lam, and M. A. Horowitz. Boosting beyond static scheduling in a superscalar processor. In Proceedings of the 17th International Symposium on Computer Architecture, pages 344-354, May 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. 23.G. S. Tyson. The effects of predicated execution on branch prediction. In Proceedings of the 27th International Symposium on Microarchitecture, pages 196-206, December 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Integrated predicated and speculative execution in the IMPACT EPIC architecture

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISCA '98: Proceedings of the 25th annual international symposium on Computer architecture
        April 1998
        402 pages
        ISBN:0818684917
        • cover image ACM SIGARCH Computer Architecture News
          ACM SIGARCH Computer Architecture News  Volume 26, Issue 3
          Special Issue: Proceedings of the 25th annual international symposium on Computer architecture (ISCA '98)
          June 1998
          379 pages
          ISSN:0163-5964
          DOI:10.1145/279361
          Issue’s Table of Contents

        Copyright © Copyright © 1998 IEEE. All Rights Reserved.

        Publisher

        IEEE Computer Society

        United States

        Publication History

        • Published: 16 April 1998

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate543of3,203submissions,17%

        Upcoming Conference

        ISCA '24

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader