skip to main content
10.1145/2744769.2744871acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Mitigating the impact of faults in unreliable memories for error-resilient applications

Published:07 June 2015Publication History

ABSTRACT

Inherently error-resilient applications in areas such as signal processing, machine learning and data analytics provide opportunities for relaxing reliability requirements, and thereby reducing the overhead incurred by conventional error correction schemes. In this paper, we exploit the tolerable imprecision of such applications by designing an energy-efficient fault-mitigation scheme for unreliable data memories to meet target yield. The proposed approach uses a bit-shuffling mechanism to isolate faults into bit locations with lower significance. This skews the bit-error distribution towards the low order bits, substantially limiting the output error magnitude. By controlling the granularity of the shuffling, the proposed technique enables trading-off quality for power, area, and timing overhead. Compared to error-correction codes, this can reduce the overhead by as much as 83% in read power, 77% in read access time, and 89% in area, when applied to various data mining applications in 28nm process technology.

References

  1. "ITRS - 2013 edition," 2013. {Online}. Available: http://www.itrs.netGoogle ScholarGoogle Scholar
  2. S. Mukhopadhyay et al., "Modeling of Failure Probability and Statistical Design of SRAM Array for Yield Enhancement in Nanoscaled CMOS," IEEE TCAD, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. Bhunia et al., Low-Power Variation-Tolerant Design in Nanometer Silicon. Springer, 2010.Google ScholarGoogle Scholar
  4. Y. Emre et al., "Techniques for compensating memory errors in JPEG2000," IEEE Trans. VLSI Syst., 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. P. Gupta et al., "Underdesigned and opportunistic computing in presence of hardware variability," IEEE TCAD, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. Lucas et al., "Sparkk: Quality-scalable approximate storage in DRAM," in The Memory Forum, 2014.Google ScholarGoogle Scholar
  7. J. Henkel et al., "Multi-layer dependability: From microarchitecture to application level," in DAC, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. V. K. Chippa et al., "Analysis and characterization of inherent application resilience for approximate computing," in DAC, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. A. Sampson et al., "Approximate storage in solid-state memories," in IEEE/ACM ISM, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. V. Kleeberger et al., "A cross-layer technology-based study of how memory errors impact system resilience," IEEE Micro, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. G. Karakonstantis et al., "On the exploitation of the inherent error resilience of wireless systems under unreliable silicon," in DAC, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. I. Lee et al., "Priority based ECC for embedded SRAM memories in H.264 system," S. P. Systems, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. T. Date et al., "Robust importance sampling for efficient SRAM yield analysis," in ISQED, 2010.Google ScholarGoogle Scholar
  14. M. Gottscho et al., "Power/capacity scaling: Energy savings with simple fault-tolerant caches," in DAC, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Z. Shi-Ting et al., "Minimizing total area of low-voltage SRAM arrays through joint optimization of cell size, redundancy, and ECC," in ICCD, 2010.Google ScholarGoogle Scholar
  16. F. Frustaci et al., "13.8 a 32kb sram for error-free and error-tolerant applications with dynamic energy-quality management in 28nm cmos," in ISSCC, 2014.Google ScholarGoogle Scholar
  17. D. Rossi et al., "Error correcting code analysis for cache memory high reliability and performance," in DATE, 2011.Google ScholarGoogle Scholar
  18. A. Teman et al., "Energy versus data integrity trade-offs in embedded high-density logic compatible dynamic memories," in DATE, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. P. Cortez et al., "Modeling wine preferences by data mining from physicochemical properties," D. S. Systems, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. I. Guyon et al., "Competitive baseline methods set new standards for the NIPS 2003 feature selection benchmark," Pattern Recogn. Lett., 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. P. Casale et al., "Personalization and user verification in wearable systems using biometric walking patterns," Personal and Ubiquitous Computing, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. F. Pedregosa et al., "Scikit-learn: Machine learning in Python," Mach. Learning Research, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Mitigating the impact of faults in unreliable memories for error-resilient applications

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '15: Proceedings of the 52nd Annual Design Automation Conference
        June 2015
        1204 pages
        ISBN:9781450335201
        DOI:10.1145/2744769

        Copyright © 2015 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 7 June 2015

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader