skip to main content
10.1145/2685342.2685345acmotherconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Evaluating the Feasibility of Wireless Networks-on-Chip Enabled by Graphene

Authors Info & Claims
Published:13 December 2014Publication History

ABSTRACT

Network-on-Chip (NoC) is currently the paradigm of choice for covering the on-chip communication needs of multicore processors. As we reach the manycore era, though, electrical interconnects present performance and power issues that are exacerbated in the presence of multicast communications due to the point-to-point nature of NoCs. This dramatically limits the available design space in terms of manycore architecture, sparking the need for new solutions. In this direction, the use of wireless interconnects has been recently proposed as a complement of a wired plane. In this paper, the concept of Graphene-enabled Wireless Network-on-Chip (GWNoC) is introduced, which extends the native broadcast capabilities of existing wireless NoCs by enabling the per-core integration of antennas that radiate in the terahertz band (0.1 - 10 THz). Preliminary results on the feasibility of GWNoC are presented, covering implementation, on-chip networking and multiprocessor architecture aspects.

References

  1. T. Bjerregaard and S. Mahadevan, "A survey of research and practices of Network-on-chip," ACM Computing Surveys, vol. 38, no. 1, pp. 1--51, Jun. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. D. A. B. Miller, "Device Requirements for Optical Interconnects to Silicon Chips," Proceedings of the IEEE, vol. 97, no. 7, pp. 1166--85, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  3. D. Culler, J. P. Singh, and A. Gupta, Parallel computer architecture: a hardware/software approach. Morgan Kauffman, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. T. Krishna, C. Chen, W. Kwon, and L. Peh, "Smart: Single-Cycle Multihop Traversals over a Shared Network on Chip," IEEE Micro, vol. 34, no. 3, pp. 43--56, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  5. G. Nychis, C. Fallin, and T. Moscibroda, "On-chip networks from a networking perspective: congestion and scalability in many-core interconnects," in Proceedings of the SIGCOMM, 2012, pp. 407--18. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T. Krishna, L. Peh, B. Beckmann, and S. K. Reinhardt, "Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication," in Proceedings of the MICRO-44, vol. 2, 2011, pp. 71--82. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. N. E. Jerger, L.-S. Peh, and M. Lipasti, "Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support," in Proceedings of the ISCA-35. Ieee, Jun. 2008, pp. 229--240. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. Ros, M. E. Acacio, and J. M. García, "A Direct Coherence Protocol for Many-Core Chip Multiprocessors," IEEE Transactions on Parallel and Distributed Systems, vol. 21, no. 12, pp. 1779--92, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. G. Beausoleil, P. J. Kuekes, G. S. Snider, S.-y. Wang, and R. S. Williams, "Nanoelectronic and Nanophotonic Interconnect," Proceedings of the IEEE, vol. 96, no. 2, pp. 230--247, Feb. 2008.Google ScholarGoogle ScholarCross RefCross Ref
  10. S. Deb, A. Ganguly, P. P. Pande, B. Belzer, and D. Heo, "Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges," IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 2, no. 2, pp. 228--239, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  11. S. Abadal, M. Iannazzo, M. Nemirovsky, A. Cabellos-aparicio, and E. Alarcón, "On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-based Benchmarked Design Space Exploration," IEEE /ACM Transactions on Networking, vol. PP, no. 99, p. 1, 2014.Google ScholarGoogle Scholar
  12. S. Abadal, E. Alarcón, M. C. Lemme, M. Nemirovsky, and A. Cabellos-Aparicio, "Graphene-enabled Wireless Communication for Massive Multicore Architectures," IEEE Communications Magazine, vol. 51, no. 11, pp. 137--143, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  13. I. Llatser, C. Kremers, A. Cabellos-Aparicio, J. M. Jornet, E. Alarcón, and D. N. Chigrin, "Graphene-based nano-patch antenna for terahertz radiation," Photonics and Nanostructures - Fundamentals and Applications, vol. 10, no. 4, pp. 353--358, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  14. M. Tamagnone, J. S. GoÌĄmez-DiÌĄaz, J. R. Mosig, and J. Perruisseau-Carrier, "Analysis and design of terahertz antennas based on plasmonic resonant graphene sheets," Journal of Applied Physics, vol. 112, p. 114915, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  15. J. M. Jornet and I. F. Akyildiz, "Graphene-based Plasmonic Nano-Antenna for Terahertz Band Communication in Nanonetworks," IEEE Journal on Selected Areas in Communications, vol. 31, no. 12, pp. 685--694, Dec. 2013.Google ScholarGoogle ScholarCross RefCross Ref
  16. S. Abadal, R. Martínez, E. Alarcón, and A. Cabellos-Aparicio, "Scalability-Oriented Multicast Traffic Characterization," in Proceedings of NoCS '14, 2014, pp. 180--181.Google ScholarGoogle Scholar
  17. A. Ganguly, K. Chang, S. Deb, P. P. Pande, B. Belzer, and C. Teuscher, "Scalable Hybrid Wireless Network-on-Chip Architectures for Multi-Core Systems," IEEE Transactions on Computers, vol. 60, no. 10, pp. 1485--1502, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. D. Matolak, A. Kodi, S. Kaya, D. DiTomaso, S. Laha, and W. Rayess, "Wireless networks-on-chips: architecture, wireless channel, and devices," IEEE Wireless Communications, vol. 19, no. 5, 2012.Google ScholarGoogle Scholar
  19. S.-B. Lee, S.-W. Tam, I. Pefkianakis, S. Lu, M.-C. F. Chang, C. Guo, G. Reinman, C. Peng, M. Naik, L. Zhang, and J. Cong, "A scalable micro wireless interconnect structure for CMPs," in Proceedings of the MOBICOM '09, 2009, p. 217. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. A. Vakil and N. Engheta, "Transformation optics using graphene," Science, vol. 332, no. 6035, pp. 1291--4, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  21. M. Jablan, H. Buljan, and M. Soljačić, "Plasmonics in graphene at infrared frequencies," Physical review B, vol. 80, no. 24, p. 245435, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  22. I. Llatser, C. Kremers, D. Chigrin, J. M. Jornet, M. C. Lemme, A. Cabellos-Aparicio, and E. Alarcón, "Radiation Characteristics of Tunable Graphennas in the Terahertz Band," Radioengineering Journal, vol. 21, no. 4, 2012.Google ScholarGoogle Scholar
  23. Y. Huang, N. Khiabani, Y. Shen, and D. Li, "Terahertz photoconductive antenna efficiency," in Proceedings of the iWAT '11, 2011, pp. 152--56.Google ScholarGoogle Scholar
  24. I. F. Akyildiz, J. M. Jornet, and C. Han, "Terahertz band: Next frontier for wireless communications," Physical Communication, vol. 12, pp. 16--32, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. A. Kahng, B. Li, L. Peh, and K. Samadi, "Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration," in Proceedings of DATE '09, 2009, pp. 423--8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. J. Chan, G. Hendry, A. Biberman, K. Bergman, and L. P. Carloni, "PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks," in Proceedings of DATE '10, 2010, pp. 691--696. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. N. Binkert, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, D. a. Wood, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, and T. Krishna, "The gem5 simulator," ACM SIGARCH Computer Architecture News, vol. 39, no. 2, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Evaluating the Feasibility of Wireless Networks-on-Chip Enabled by Graphene

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Other conferences
        NoCArc '14: Proceedings of the 2014 International Workshop on Network on Chip Architectures
        December 2014
        63 pages
        ISBN:9781450330640
        DOI:10.1145/2685342

        Copyright © 2014 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 13 December 2014

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed limited

        Acceptance Rates

        NoCArc '14 Paper Acceptance Rate9of22submissions,41%Overall Acceptance Rate46of122submissions,38%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader