skip to main content
10.1145/2333660.2333754acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Procedure hopping: a low overhead solution to mitigate variability in shared-L1 processor clusters

Published:30 July 2012Publication History

ABSTRACT

Variation in performance and power across manufactured parts and their operating conditions is a well-known issue in advanced CMOS processes. This paper proposes a resilient HW/SW architecture for shared-L1 processor clusters to combat both static and dynamic variations. We first introduce the notion of procedure-level vulnerability (PLV) to expose fast dynamic voltage variation and its effects to the software stack for use in runtime compensation. To assess PLV, we quantify the effect of full operating conditions on the dynamic voltage variation of a post-layout processor in 45nm TSMC technology. Based on our analysis, PLV shows a range of 18mV--63mV inter-corner variation among the maximum voltage droop of procedures. To exploit this variation we propose a low-cost procedure hopping technique within the processor clusters, utilizing compile time characterized metadata related to PLV. Our results show that procedure hopping avoids critical voltage droops during the execution of all procedures while incurring less than 1% latency penalty.

References

  1. S. Ghosh, et al., "Parameter Variation Tolerance and Error Resiliency: New Design Paradigm for the Nanoscale Era," Proc. IEEE, Vol.98, No.10, pp.1718--1751, Oct. 2010.Google ScholarGoogle ScholarCross RefCross Ref
  2. C. Isci, et al., "An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget," Proc. MICRO, pp.347--358, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. ITRS {Online}. Available: http://public.itrs.netGoogle ScholarGoogle Scholar
  4. A. Drake, et al., "A Distributed Critical-Path Timing Monitor for a 65nm High-Performance Microprocessor," Proc. ISSCC, pp. 398--399, 2007.Google ScholarGoogle Scholar
  5. S. Herbert, et al., "Exploiting Process Variability in Voltage/Frequency Control," IEEE Trans. on Very Large Scale Integration (VLSI) Systems, 2011.Google ScholarGoogle Scholar
  6. C. R. Lefurgy, et al., "Active Management of Timing Guardband to Save Energy in POWER7," Proc. MICRO, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. R. Teodorescu, et al., "Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing," Proc. MICRO, pp. 27--42, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. Rahimi, et al., "Analysis of Instruction-level Vulnerability to Dynamic Voltage and Temperature Variations," Proc. DATE, pp.1102--1105, 2012.Google ScholarGoogle Scholar
  9. V.J. Reddi, et al., "Resilient Architectures via Collaborative Design: Maximizing Com-modity Processor Performance in the Presence of Variations," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol.30, No.10, pp.1429--1445, Oct. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Dighe, et al., "Within-Die Variation-Aware Dynamic-Voltage-Frequency-Scaling With Optimal Core Allocation and Thread Hopping for the 80-Core TeraFLOPS Processor," IEEE J. of Solid-State Circuits, Vol.46, No.1, pp. 184--193, Jan. 2011.Google ScholarGoogle ScholarCross RefCross Ref
  11. F. Paterna, et al., "Variability-Aware Task Allocation for Energy-Efficient Quality of Service Provisioning in Embedded Streaming Multimedia Applications," IEEE Trans. on Computers, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. F. Paterna, et al., "Adaptive Idleness Distribution for Non-Uniform Aging Tolerance in MultiProcessor Systems-on-Chip," Proc. DATE, pp. 906--909, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. S. Miermont, et al., "A power supply selector for energy- and area-efficient local dynamic voltage scaling," Proc. PATMOS, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. Rahimi, et al., "History-Based Dynamic Voltage Scaling with Few Number of Voltage Modes for GALS NoC," Proc. FutureTech, 2010.Google ScholarGoogle Scholar
  15. A. Tiwari, et al., "Facelift: Hiding and Slowing Down Aging in Multicores," Proc. MICRO, pp.129--140, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. U.R. Karpuzcu, et al., "The BubbleWrap many-core: Popping cores for sequential acceleration," Proc. MICRO, pp.447--458, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. E. Grochowski, et al., "Microarchitectural Simulation and Control of di/dt-induced Power Supply Voltage Variation," Proc. HPCA, pp. 7--16, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. R. Joseph, et al., "Control Techniques to Eliminate Voltage Emergencies in High-Performance Processors," Proc. HPCA, pp. 79--90, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. J. Zhao, et al., "Thermal-aware voltage droop compensation for multi-core architectures," Proc. GLSVLSI, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. V. Reddi, et al., "Voltage Emergency Prediction: A Signature-Based Approach To Reducing Voltage Emergencies," Proc. HPCA, pp. 18--27, 2009.Google ScholarGoogle Scholar
  21. K. Hazelwood, et al., "Eliminating Voltage Emergencies via Microarchitectural Voltag Control Feedback and Dynamic Optimization," Proc. ISLPED, pp. 326--331, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. NVIDIA's Next Generation CUDA Compute Architecture: Fermi, Whitepaper, V1.1, 2009.Google ScholarGoogle Scholar
  23. D. Bortolotti, et al., "Exploring instruction caching strategies for tightly-coupled shared-memory clusters," Proc. Int. Sym. on SoC, pp. 34--41, 2011.Google ScholarGoogle Scholar
  24. K. Bowman, et al. "A 45 nm Resilient Microprocessor Core for Dynamic Variation Tolerance," IEEE J. of Solid-State Circuits, Vol.46, No.1, pp.194--208, Jan. 2011.Google ScholarGoogle ScholarCross RefCross Ref
  25. A. Rahimi, et al., "A Fully-Synthesizable Single-Cycle Interconnection Network for Shared-L1 Processor Clusters," Proc. DATE, pp.1--6, 2011.Google ScholarGoogle Scholar
  26. E. Beigne, et al., "An Asynchronous Power Aware and Adaptive NoC Based Circuit," IEEE J. of Solid-State Circuits, Vol.44, No.4, pp.1167--1177, April 2009.Google ScholarGoogle ScholarCross RefCross Ref
  27. TSMC 45nm standard cell library release note, TCBN45GSBWP, version 120A, Nov. 2009.Google ScholarGoogle Scholar
  28. Synopsys PrimeTime® VX User Guide, June 2011.Google ScholarGoogle Scholar
  29. LEON3 {Online}. Available: http://www.gaisler.com/cms/Google ScholarGoogle Scholar
  30. EEMBC benchmark Consortium {Online}. Available: http://www.eembc.orgGoogle ScholarGoogle Scholar

Index Terms

  1. Procedure hopping: a low overhead solution to mitigate variability in shared-L1 processor clusters

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ISLPED '12: Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
            July 2012
            438 pages
            ISBN:9781450312493
            DOI:10.1145/2333660

            Copyright © 2012 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 30 July 2012

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate398of1,159submissions,34%

            Upcoming Conference

            ISLPED '24

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader