skip to main content
research-article
Free Access

Looking back and looking forward: power, performance, and upheaval

Published:01 July 2012Publication History
Skip Abstract Section

Abstract

The past 10 years have delivered two significant revolutions. (1) Microprocessor design has been transformed by the limits of chip power, wire latency, and Dennard scaling---leading to multicore processors and heterogeneity. (2) Managed languages and an entirely new software landscape emerged---revolutionizing how software is deployed, is sold, and interacts with hardware. Researchers most often examine these changes in isolation. Architects mostly grapple with microarchitecture design through the narrow software context of native sequential SPEC CPU benchmarks, while language researchers mostly consider microarchitecture in terms of performance alone. This work explores the clash of these two revolutions over the past decade by measuring power, performance, energy, and scaling, and considers what the results may mean for the future. Our diverse findings include the following: (a) native sequential workloads do not approximate managed workloads or even native parallel workloads; (b) diverse application power profiles suggest that future applications and system software will need to participate in power optimization and management; and (c) software and hardware researchers need access to real measurements to optimize for power and energy.

References

  1. Azizi, O., Mahesri, A., Lee, B.C., Patel, S.J., Horowitz, M. Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis. In ISCA (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Blackburn, S.M. et al. Wake up and smell the coffee: Evaluation methodologies for the 21st century. CACM 51, 8 (2008), 83--89. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Bohr, M. A 30 year retrospective on Dennard's MOSFET scaling paper. IEEE SSCS Newsletter 12, 1 (2007), 11--13 (http://dx.doi.org/10.1109/N-SSC.2007.4785534).Google ScholarGoogle Scholar
  4. David, H., Gorbatov, E., Hanebutte, U.R., Khanna, R., Le, C. RAPL: memory power estimation and capping. In ISLPED (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Emer, J.S., Clark, D.W. A characterization of processor performance in the VAX-11/780. In ISCA (1984). Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Esmaeilzadeh, H., Blem, E., St. Amant, R., Sankaralingam, K., Burger, D. Dark silicon and the end of multicore scaling. In ISCA (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Fan, X., Weber, W.D., Barroso, L.A. Power provisioning for a warehouse-sized computer. In ISCA (2007). Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Hardavellas, N., Ferdman, M., Falsafi, B., Ailamaki, A. Toward dark silicon in servers. IEEE Micro 31, 4 (2011), 6--15. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Hrishikesh, M.S., Burger, D., Jouppi, N.P., Keckler, S.W., Farkas, K.I., Shivakumar, P. The optimal logic depth per pipeline stage is 6 to 8 FO4 inverter delays. In International Symposium on Computer Architecture (2002). Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Isci, C., Martonosi, M. Runtime power monitoring in high-end processors: Methodology and empirical data. In MICRO (2003). Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. ITRS Working Group. International technology roadmap for semiconductors, 2011.Google ScholarGoogle Scholar
  12. Le Sueur, E., Heiser, G. Dynamic voltage and frequency scaling: the laws of diminishing returns. In HotPower (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Li, S., Ahn, J.H., Strong, R.D., Brockman, J.B., Tullsen, D.M., Jouppi, N.P. McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO (2009). Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Li, Y., Lee, B., Brooks, D., Hu, Z., Skadron, K. CMP design space exploration subject to physical contraints. In HPCA (2006).Google ScholarGoogle ScholarCross RefCross Ref
  15. Moore, G.E. Cramming more components onto integrated circuits. Electronics 38, 8 (19 Apr 1965), 114--117.Google ScholarGoogle Scholar
  16. Mudge, T. Power: a first-class architectural design constraint. Computer 34, 4 (Apr. 2001), 52--58. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Sasanka, R., Adve, S.V., Chen, Y.K., Debes, E. The energy efficiency of CMP vs. SMT for multimedia workloads. In ICS (2004). Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Singhal, R. Inside Intel next generation Nehalem microarchitecture. Intel Developer Forum (IDF) presentation (August 2008), 2011.Google ScholarGoogle Scholar
  19. Tullsen, D.M., Eggers, S.J., Levy, H.M. Simultaneous multithreading: maximizing on-chip parallelism. In ISCA (1995). Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Looking back and looking forward: power, performance, and upheaval

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image Communications of the ACM
        Communications of the ACM  Volume 55, Issue 7
        July 2012
        120 pages
        ISSN:0001-0782
        EISSN:1557-7317
        DOI:10.1145/2209249
        Issue’s Table of Contents

        Copyright © 2012 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 July 2012

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Popular
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      HTML Format

      View this article in HTML Format .

      View HTML Format