skip to main content
10.1145/2206781.2206850acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
poster

A framework for high-level synthesis of heterogeneous MP-SoC

Authors Info & Claims
Published:03 May 2012Publication History

ABSTRACT

In this paper we propose an ESL synthesis framework which, from the C code of an application and a description of a generic architecture, automatically explores and generates a complete synthesizable version of a H-MPSoC architecture along with the adapted code application. We developed a Design Space Exploration (DSE) algorithm that merges hardware specialization, data-parallelism exploration, processor instantiation and task mapping according to user performance and cost constraints. We also inserted HLS in the DSE loop and get fast exploration of hardware acceleration. A new ESL framework is presented, it combines our contributions with some legacy tools issued from our and another team. We validated our framework with a case study of an MJPEG decoder.

References

  1. K. Benkrid. Reconfigurable Computing in the Multi-Core Era. In International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies (HEART) 2010, 2010.Google ScholarGoogle Scholar
  2. M. Thompson et al. A framework for rapid system-level exploration, synthesis, and programming of multimedia MP-SoCs. In 5th conference on Hardware/software codesign and system synthesis. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. G. Kahn. The semantics of a simple language for parallel programming. Information processing, 74:471--475, 1974.Google ScholarGoogle Scholar
  4. J. Keinert et al. SystemCoDesigner - an automatic ESL synthesis approach by design space exploration and behavioral synthesis for streaming applications. ACM Transactions on Design Automation of Electronic Systems, 14(1):1--23, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Falk et al. Efficient representation and simulation of model-based designs in SystemC. In Proceedings of the International Forum on Specification & Design Languages (FDL'06), pages 129--134.Google ScholarGoogle Scholar
  6. P. Van Stralen and A. Pimentel. Scenario-based design space exploration of mpsocs. In Computer Design (ICCD), 2010 IEEE International Conference on, pages 305--312, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  7. J. Vidal et al. A co-design approach for embedded system modeling and code generation with uml and marte. In Design, Automation & Test in Europe Conference & Exhibition, 2009. DATE'09. IEEE, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A.D. Pimentel et al. A systematic approach to exploring embedded system architectures at multiple abstraction levels. IEEE Transactions on Computers, pages 99--112, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. P. Coussy et al. GAUT: A High-Level Synthesis Tool for DSP applications. Springer, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  10. S. Verdoolaege, H. Nikolov, and T. Stefanov. Pn: a tool for improved derivation of process networks. EURASIP Journal on Embedded Systems, 2007(1):19--19, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. H. Nikolov et al. Systematic and automated multiprocessor system design, programming, and implementation. Computer-Aided Design of Integrated Circuits and Systems, IEEE Trans., 27(3):542--555, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. I. Augé et al. Platform-based design from parallel C specifications. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 24(12):1811--1826, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Xilinx, OS and Libraries Document Collection (UG 643). http://www.xilinx.com/support/documentation/sw_manuals/xilinx12_3/oslib_rm.pdf.Google ScholarGoogle Scholar

Index Terms

  1. A framework for high-level synthesis of heterogeneous MP-SoC

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          GLSVLSI '12: Proceedings of the great lakes symposium on VLSI
          May 2012
          388 pages
          ISBN:9781450312448
          DOI:10.1145/2206781

          Copyright © 2012 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 3 May 2012

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • poster

          Acceptance Rates

          Overall Acceptance Rate312of1,156submissions,27%

          Upcoming Conference

          GLSVLSI '24
          Great Lakes Symposium on VLSI 2024
          June 12 - 14, 2024
          Clearwater , FL , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader