skip to main content
research-article
Free Access

The future of microprocessors

Published:01 May 2011Publication History
Skip Abstract Section

Abstract

Energy efficiency is the new fundamental limiter of processor performance, way beyond numbers of processors.

References

  1. Advanced Vector Extensions. Intel; http://en.wikipedia.org/wiki/Advanced_Vector_ExtensionsGoogle ScholarGoogle Scholar
  2. AltiVec, Apple, IBM, Freescale; http://en.wikipedia.org/wiki/AltiVecGoogle ScholarGoogle Scholar
  3. Amdahl, G. Validity of the single-processor approach to achieving large-scale computing capability. AFIPS Joint Computer Conference (Apr. 1967), 483--485. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Anders, M. et al. A 4.1Tb/s bisection-bandwidth 560Gb/s/W streaming circuit-switched 8×8 mesh network-on-chip in 45nm CMOS. International Solid State Circuits Conference (Feb. 2010).Google ScholarGoogle Scholar
  5. Barroso, L.A. and Hölzle, U. The case for energy-proportional computing. IEEE Computer 40, 12 (Dec. 2007). Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Bell, S. et. al. TILE64 processor: A 64-core SoC with mesh interconnect. IEEE International Solid-State Circuits Conference (2008).Google ScholarGoogle Scholar
  7. Bienia, C. et. al. The PARSEC benchmark suite: Characterization and architectural implications. The 17th International Symposium on Parallel Architectures and Compilation Techniques (2008). Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Blumrich, M. et. al. Design and Analysis of the Blue Gene/L Torus Interconnection Network. IBM Research Report, 2003.Google ScholarGoogle Scholar
  9. Borkar, S. Designing reliable systems from unreliable components: The challenges of transistor variability and degradation. IEEE Micro 25, 6 (Nov.--Dec. 2005). Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Borkar, S. Design challenges of technology scaling. IEEE Micro 19, 4 (July--Aug. 1999). Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Borkar, S. et al. Parameter variations and impact on circuits and microarchitecture. The 40th Annual Design Automation Conference (2003). Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Catanzaro, B. et. al. Ubiquitous parallel computing from Berkeley, Illinois, and Stanford. IEEE Micro 30, 2 (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Cray, Inc. Chapel Language Specification. Seattle, WA, 2010; http://chapel.cray.com/spec/spec-0.795.pdfGoogle ScholarGoogle Scholar
  14. Chien, A. 10×10: A general-purpose architectural approach to heterogeneity and energy efficiency. The Third Workshop on Emerging Parallel Architctures at the International Conference on Computational Science (June 2011).Google ScholarGoogle ScholarCross RefCross Ref
  15. Chien, A. Pervasive parallel computing: An historic opportunity for innovation in programming and architecture. ACM Principles and Practice of Parallel Programming (2007). Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Cooper, B. et al. Benchmarking cloud serving systems with YCSB. ACM Symposium on Cloud Computing (June 2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Dennard, R. et al. Design of ion-implanted MOSFETs with very small physical dimensions. IEEE Journal of Solid State Circuits SC-9, 5 (Oct. 1974), 256--268.Google ScholarGoogle ScholarCross RefCross Ref
  18. Fatahalian, K. et al. Sequoia: Programming the memory hierarchy. ACM/IEEE Conference on Supercomputing (Nov. 2006). Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Flinn, J. et al. Managing battery lifetime with energy-aware adaptation. ACM Transactions on Computer Systems 22, 2 (May 2004). Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Gosling, J. et al. The Java Language Specification, Third Edition. Addison-Wesley, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Hameed, R. et al. Understanding sources of inefficiency in general-purpose chips. International Symposium on Computer Architecture (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Hoskote, Y. et al. A TCP offload accelerator for 10Gb/s Ethernet in 90-nm CMOS. IEEE Journal of Solid-State Circuits 38, 11 (Nov. 2003).Google ScholarGoogle Scholar
  23. International Technology Roadmap for Semiconductors, 2009; http://www.itrs.net/Links/2009ITRS/Home2009.htmGoogle ScholarGoogle Scholar
  24. Karamcheti, V. et al. Comparison of architectural support for messaging in the TMC CM-5 and Cray T3D. International Symposium on Computer Architecture (1995). Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Kaul, H. et al. A 320mV 56W 411GOPS/Watt ultra-low-voltage motion-estimation accelerator in 65nm CMOS. IEEE Journal of Solid-State Circuits 44, 1 (Jan. 2009).Google ScholarGoogle ScholarCross RefCross Ref
  26. The Khronos Group. OpenCL, the Open Standard for Heterogeneous Parallel Programming, Feb. 2009; http://www.khronos.org/opencl/Google ScholarGoogle Scholar
  27. Kogge, P. et al. Exascale Computing Study: Technology Challenges in Achieving an Exascale System; http://users.ece.gatech.edu/mrichard/ExascaleComputingStudyReports/exascale_final_report_100208.pdfGoogle ScholarGoogle Scholar
  28. Mazor, S. The history of microcomputer-invention and evolution. Proceedings of the IEEE 83, 12 (Dec. 1995).Google ScholarGoogle ScholarCross RefCross Ref
  29. Noguchi, K., Ohnishi, I., and Morita, H. Design considerations for a heterogeneous tightly coupled multiprocessor system. AFIPS National Computer Conference (1975). Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Nvidia Corp. CUDA Programming Guide Version 2.0, June 2008; http://www.nvidia.com/object/cuda_home_new.htmlGoogle ScholarGoogle Scholar
  31. Pfister, G. et al. The research parallel processor prototype (RP3): Introduction and architecture. International Conference on Parallel Processing (Aug. 1985).Google ScholarGoogle Scholar
  32. Pollack, F. Pollack's Rule of Thumb for Microprocessor Performance and Area; http://en.wikipedia.org/wiki/Pollack's_RuleGoogle ScholarGoogle Scholar
  33. Przybylski, S.A. et al. Characteristics of performanceoptimal multi-level cache hierarchies. International Symposium on Computer Architecture (June 1989). Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Richter, J. The CLR Via C#, Second Edition, 1997.Google ScholarGoogle Scholar
  35. Ruby Documentation Project. Programming Ruby: The Pragmatic Programmer's Guide; http://www.ruby-doc.org/docs/ProgrammingRuby/Google ScholarGoogle Scholar
  36. Seiler, L. et al. Larrabee: Many-core x86 architecture for visual computing. ACM Transactions on Graphics 27, 3 (Aug. 2008). Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Strecker, W. Transient behavior of cache memories. ACM Transactions on Computer Systems 1, 4 (Nov. 1983). Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Sarkar, V. et al. Exascale Software Study: Software Challenges in Extreme-Scale Systems; http://users.ece.gatech.edu/mrichard/ExascaleComputingStudyReports/ECSS%20report%20101909.pdfGoogle ScholarGoogle Scholar
  39. Tartar, J. Multiprocessor hardware: An architectural overview. ACM Annual Conference (1980). Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Weingold, E. et al. Baring it all to software: Raw machines. IEEE Computer 30, 9 (Sept. 1997). Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Wulf, W. and Bell, C.G. C.mmp: A multi-miniprocessor. AFIPS Joint Computer Conferences (Dec. 1972). Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. The future of microprocessors

                    Recommendations

                    Comments

                    Login options

                    Check if you have access through your login credentials or your institution to get full access on this article.

                    Sign in

                    Full Access

                    • Published in

                      cover image Communications of the ACM
                      Communications of the ACM  Volume 54, Issue 5
                      May 2011
                      134 pages
                      ISSN:0001-0782
                      EISSN:1557-7317
                      DOI:10.1145/1941487
                      Issue’s Table of Contents

                      Copyright © 2011 ACM

                      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                      Publisher

                      Association for Computing Machinery

                      New York, NY, United States

                      Publication History

                      • Published: 1 May 2011

                      Permissions

                      Request permissions about this article.

                      Request Permissions

                      Check for updates

                      Qualifiers

                      • research-article
                      • Popular
                      • Refereed

                    PDF Format

                    View or Download as a PDF file.

                    PDF

                    eReader

                    View online with eReader.

                    eReader

                    HTML Format

                    View this article in HTML Format .

                    View HTML Format