Abstract
Energy efficiency is the new fundamental limiter of processor performance, way beyond numbers of processors.
- Advanced Vector Extensions. Intel; http://en.wikipedia.org/wiki/Advanced_Vector_ExtensionsGoogle Scholar
- AltiVec, Apple, IBM, Freescale; http://en.wikipedia.org/wiki/AltiVecGoogle Scholar
- Amdahl, G. Validity of the single-processor approach to achieving large-scale computing capability. AFIPS Joint Computer Conference (Apr. 1967), 483--485. Google ScholarDigital Library
- Anders, M. et al. A 4.1Tb/s bisection-bandwidth 560Gb/s/W streaming circuit-switched 8×8 mesh network-on-chip in 45nm CMOS. International Solid State Circuits Conference (Feb. 2010).Google Scholar
- Barroso, L.A. and Hölzle, U. The case for energy-proportional computing. IEEE Computer 40, 12 (Dec. 2007). Google ScholarDigital Library
- Bell, S. et. al. TILE64 processor: A 64-core SoC with mesh interconnect. IEEE International Solid-State Circuits Conference (2008).Google Scholar
- Bienia, C. et. al. The PARSEC benchmark suite: Characterization and architectural implications. The 17th International Symposium on Parallel Architectures and Compilation Techniques (2008). Google ScholarDigital Library
- Blumrich, M. et. al. Design and Analysis of the Blue Gene/L Torus Interconnection Network. IBM Research Report, 2003.Google Scholar
- Borkar, S. Designing reliable systems from unreliable components: The challenges of transistor variability and degradation. IEEE Micro 25, 6 (Nov.--Dec. 2005). Google ScholarDigital Library
- Borkar, S. Design challenges of technology scaling. IEEE Micro 19, 4 (July--Aug. 1999). Google ScholarDigital Library
- Borkar, S. et al. Parameter variations and impact on circuits and microarchitecture. The 40th Annual Design Automation Conference (2003). Google ScholarDigital Library
- Catanzaro, B. et. al. Ubiquitous parallel computing from Berkeley, Illinois, and Stanford. IEEE Micro 30, 2 (2010). Google ScholarDigital Library
- Cray, Inc. Chapel Language Specification. Seattle, WA, 2010; http://chapel.cray.com/spec/spec-0.795.pdfGoogle Scholar
- Chien, A. 10×10: A general-purpose architectural approach to heterogeneity and energy efficiency. The Third Workshop on Emerging Parallel Architctures at the International Conference on Computational Science (June 2011).Google ScholarCross Ref
- Chien, A. Pervasive parallel computing: An historic opportunity for innovation in programming and architecture. ACM Principles and Practice of Parallel Programming (2007). Google ScholarDigital Library
- Cooper, B. et al. Benchmarking cloud serving systems with YCSB. ACM Symposium on Cloud Computing (June 2010). Google ScholarDigital Library
- Dennard, R. et al. Design of ion-implanted MOSFETs with very small physical dimensions. IEEE Journal of Solid State Circuits SC-9, 5 (Oct. 1974), 256--268.Google ScholarCross Ref
- Fatahalian, K. et al. Sequoia: Programming the memory hierarchy. ACM/IEEE Conference on Supercomputing (Nov. 2006). Google ScholarDigital Library
- Flinn, J. et al. Managing battery lifetime with energy-aware adaptation. ACM Transactions on Computer Systems 22, 2 (May 2004). Google ScholarDigital Library
- Gosling, J. et al. The Java Language Specification, Third Edition. Addison-Wesley, 2005. Google ScholarDigital Library
- Hameed, R. et al. Understanding sources of inefficiency in general-purpose chips. International Symposium on Computer Architecture (2010). Google ScholarDigital Library
- Hoskote, Y. et al. A TCP offload accelerator for 10Gb/s Ethernet in 90-nm CMOS. IEEE Journal of Solid-State Circuits 38, 11 (Nov. 2003).Google Scholar
- International Technology Roadmap for Semiconductors, 2009; http://www.itrs.net/Links/2009ITRS/Home2009.htmGoogle Scholar
- Karamcheti, V. et al. Comparison of architectural support for messaging in the TMC CM-5 and Cray T3D. International Symposium on Computer Architecture (1995). Google ScholarDigital Library
- Kaul, H. et al. A 320mV 56W 411GOPS/Watt ultra-low-voltage motion-estimation accelerator in 65nm CMOS. IEEE Journal of Solid-State Circuits 44, 1 (Jan. 2009).Google ScholarCross Ref
- The Khronos Group. OpenCL, the Open Standard for Heterogeneous Parallel Programming, Feb. 2009; http://www.khronos.org/opencl/Google Scholar
- Kogge, P. et al. Exascale Computing Study: Technology Challenges in Achieving an Exascale System; http://users.ece.gatech.edu/mrichard/ExascaleComputingStudyReports/exascale_final_report_100208.pdfGoogle Scholar
- Mazor, S. The history of microcomputer-invention and evolution. Proceedings of the IEEE 83, 12 (Dec. 1995).Google ScholarCross Ref
- Noguchi, K., Ohnishi, I., and Morita, H. Design considerations for a heterogeneous tightly coupled multiprocessor system. AFIPS National Computer Conference (1975). Google ScholarDigital Library
- Nvidia Corp. CUDA Programming Guide Version 2.0, June 2008; http://www.nvidia.com/object/cuda_home_new.htmlGoogle Scholar
- Pfister, G. et al. The research parallel processor prototype (RP3): Introduction and architecture. International Conference on Parallel Processing (Aug. 1985).Google Scholar
- Pollack, F. Pollack's Rule of Thumb for Microprocessor Performance and Area; http://en.wikipedia.org/wiki/Pollack's_RuleGoogle Scholar
- Przybylski, S.A. et al. Characteristics of performanceoptimal multi-level cache hierarchies. International Symposium on Computer Architecture (June 1989). Google ScholarDigital Library
- Richter, J. The CLR Via C#, Second Edition, 1997.Google Scholar
- Ruby Documentation Project. Programming Ruby: The Pragmatic Programmer's Guide; http://www.ruby-doc.org/docs/ProgrammingRuby/Google Scholar
- Seiler, L. et al. Larrabee: Many-core x86 architecture for visual computing. ACM Transactions on Graphics 27, 3 (Aug. 2008). Google ScholarDigital Library
- Strecker, W. Transient behavior of cache memories. ACM Transactions on Computer Systems 1, 4 (Nov. 1983). Google ScholarDigital Library
- Sarkar, V. et al. Exascale Software Study: Software Challenges in Extreme-Scale Systems; http://users.ece.gatech.edu/mrichard/ExascaleComputingStudyReports/ECSS%20report%20101909.pdfGoogle Scholar
- Tartar, J. Multiprocessor hardware: An architectural overview. ACM Annual Conference (1980). Google ScholarDigital Library
- Weingold, E. et al. Baring it all to software: Raw machines. IEEE Computer 30, 9 (Sept. 1997). Google ScholarDigital Library
- Wulf, W. and Bell, C.G. C.mmp: A multi-miniprocessor. AFIPS Joint Computer Conferences (Dec. 1972). Google ScholarDigital Library
Index Terms
- The future of microprocessors
Recommendations
The Future of Microprocessors: Chip multiprocessors’ promise of huge performance gains is now a reality.
MultiprocessorsThe performance of microprocessors that power modern computers has continued to increase exponentially over the years for two main reasons. First, the transistors that are the heart of the circuits in all processors and memory chips have simply become ...
Comments