skip to main content
10.1145/1837274.1837390acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

RAMP gold: an FPGA-based architecture simulator for multiprocessors

Published:13 June 2010Publication History

ABSTRACT

We present RAMP Gold, an economical FPGA-based architecture simulator that allows rapid early design-space exploration of manycore systems. The RAMP Gold prototype is a high-throughput, cycle-accurate full-system simulator that runs on a single Xilinx Virtex-5 FPGA board, and which simulates a 64-core shared-memory target machine capable of booting real operating systems. To improve FPGA implementation efficiency, functionality and timing are modeled separately and host multithreading is used in both models. We evaluate the prototype's performance using a modern parallel benchmark suite running on our manycore research operating system, achieving two orders of magnitude speedup compared to a widely-used software-based architecture simulator.

References

  1. DDR2 DRAM Controller for BEE3, online at http://research.microsoft.com/en-us/projects/BEE3/, 2007.Google ScholarGoogle Scholar
  2. Leon3 Processor, http://www.gaisler.com, 2009.Google ScholarGoogle Scholar
  3. K. Asanović et al. A view of the parallel computing landscape. Commun. ACM, 52(10):56--67, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. T. Austin et al. SimpleScalar: An Infrastructure for Computer System Modeling. Computer, 35(2):59--67, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. Bienia et al. The PARSEC Benchmark Suite: Characterization and Architectural Implications. In PACT '08, pages 72--81, New York, NY, USA, 2008. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Chiou et al. FPGA-Accelerated Simulation Technologies (FAST): Fast, Full-System, Cycle-Accurate Simulators. In MICRO '07, pages 249--261, Washington, DC, USA, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. E. S. Chung et al. ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. ACM Trans. Reconfigurable Technol. Syst., 2(2):1--32, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Emer et al. Asim: A Performance Model Framework. Computer, 35(2):68--76, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. B. Fort et al. A Multithreaded Soft Processor for SoPC Area Reduction. In FCCM '06, pages 131--142, Washington, DC, USA, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. K. Klues et al. Processes and Resource Management in a Scalable Many-core OS. In HotPar09, Berkeley, CA, 03/2010 2010.Google ScholarGoogle Scholar
  11. J. W. Lee et al. Globally-Synchronized Frames for Guaranteed Quality-of-Service in On-Chip Networks. In ISCA '08, pages 89--100, Washington, DC, USA, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. Lesea. Continuing experiments of atmospheric neutron effects on deep submicron integrated circuits. Xilinx White Paper 286, 2009.Google ScholarGoogle Scholar
  13. R. Liu et al. Tessellation: Space-Time Partitioning in a Manycore Client OS. In HotPar09, Berkeley, CA, 03/2009 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. P. S. Magnusson et al. Simics: A Full System Simulation Platform. IEEE Computer, 35, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. M. M. K. Martin et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Computer Architecture News, 33(4):92--99, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. P. Michael et al. A-port networks: Preserving the timed behavior of synchronous systems for modeling on fpgas. ACM Trans. Reconfigurable Technol. Syst., 2(3):1--26, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. J. E. Miller et al. Graphite: A Distributed Parallel Simulator for Multicores. In HPCA-16, January 2010.Google ScholarGoogle Scholar
  18. S. Mukherjee et al. Wisconsin Wind Tunnel II: A Fast, Portable Parallel Architecture Simulator. IEEE Concurrency, 8(4):12--20, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. V. S. Pai et al. RSIM Reference Manual. Version 1.0. Technical Report 9705, Department of Electrical and Computer Engineering, Rice University, July 1997.Google ScholarGoogle Scholar
  20. M. Rosenblum et al. Using the SimOS machine simulator to study complex computer systems. ACM Transactions on Modeling and Computer Simulation, 7(1):78--103, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Z. Tan et al. A Case for FAME: FPGA Architecture Model Execution. In ISCA '10, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. J. Wawrzynek et al. RAMP: Research Accelerator for Multiple Processors. IEEE Micro, 27(2):46--57, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. RAMP gold: an FPGA-based architecture simulator for multiprocessors

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '10: Proceedings of the 47th Design Automation Conference
        June 2010
        1036 pages
        ISBN:9781450300025
        DOI:10.1145/1837274

        Copyright © 2010 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 13 June 2010

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader