skip to main content
10.1145/1811100.1811104acmconferencesArticle/Chapter ViewAbstractPublication PagesslipConference Proceedingsconference-collections
research-article

Hybrid network on chip (HNoC): local buses with a global mesh architecture

Published:13 June 2010Publication History

ABSTRACT

Network on chip (NoC) is often implemented with packet-based communication rather than bus connections between cores. Although NoC is a good solution for long-distance communication, local buses are more efficient for short-distance connections. In this paper, we propose a hybrid network on chip (HNoC) fabric that uses local buses for nearest-neighbor communication and the standard NoC topology for global interconnection. Local buses carry all the nearest-neighbor traffic, reducing traffic on the global network, which results in increased throughput and reduced energy consumption.

Based on a communication probability density (CPD) function derived from Rent's rule, it is shown that in a 25-core chip multiprocessor, HNoC can remove up to 78% of the traffic from the global NoC topology, which results in 4.6x higher throughput and a 58% reduction in energy consumption compared to a conventional NoC topology.

References

  1. Theodoros Konstantakopoulos, Jonathan Eastep, James Psota, and Anant Agarwal, "Energy Scalability of On-Chip Interconnection Networks in Multicore Architectures," MIT CSAIL Technical Report, November 2007.Google ScholarGoogle Scholar
  2. M. Horowitz and W. Dally, "How scaling will change processor architecture," Proceedings of the International Solid-State Circuits Conference (ISSCC), pp. 132--133, Feb. 2004.Google ScholarGoogle Scholar
  3. James Balfour and William J. Dally, "Design Tradeoffs for Tiled CMP On-Chip Networks," Proceedings of the 20th ACM International Conference on Supercomputing (ICS), June 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. P. C. Luca, P. Partha, X. Yuan, "Networks-on-Chip in Emerging Interconnect Paradigms: Advantages and Callengges," ACM/IEEE International Symposium on Networks-on-Chip, pp. 93--102, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. Kamil, A. Pinar, D. Gunter, M. Lijewski, L. Oliker, J. Shalf, "Reconfigurable Hybrid Interconnection for Static and Dynamic Scientific Applications", ACM International Conference on Computing Frontiers, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. G. Hendry, S. Kamil, A. Biberman, J. Chan, B. Lee, M. Mohiyuddin, A. Jain, K. Bergman, L.P. Carloni, J. Kubiatowicz, L. Oliker, and J. Shalf, "Analysis of Photonic Networks for a Chip Multi-Processor Using Scientific Applications," Proceedings of the Third International Symposium on Networks-on-Chip (NoCS), pp. 104--113, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. V. Soteriou, H. Wang, and L.S. Peh, "A Statistical Traffic Model for On-Chip Interconnection Networks," IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, pp. 104--116, September 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. D. Greenfield, A. Banerjee, J.-G. Lee, and S. Moore, "Implications of rent's rule for NoC design and its fault-tolerance," International Symposium on Networks-on-Chip, pp. 283--294, June 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. W. Heirman, J. Dambre, D. Stroobandt, and J. Campenhout, "Rent's rule and parallel programs: Characterizing network traffic behavior," International Workshop on System Level Interconnect Prediction, pp. 87--94, April 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. G. Bezerra, S. Forrest, M. Moses, A. Davis, and P. Zarkesh-Ha, "Prediction of NoC Energy Consumption using Rent's rule based Communication Probability Distribution," submitted to International Workshop on System Level Interconnect Prediction, June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. A. Davis, V. K. De and J. D. Meindl, "A Stochastic Wire-length Distribution for Gigascale Integration (GSI): Part I: Derivation and Validation," IEEE Transaction on Electron Devices, pp. 580--589, March 1998.Google ScholarGoogle Scholar
  12. A. Kahng, B. Li, L. Peh, and K. Samadi. Orion 2.0: A fast and accurate noc power and area model for early--stage design space exploration. In Design, Automation, and Test in Europe, pp. 423--428, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh: "Effect of Traffic Localization on Energy Dissipation in NoC-based Interconnect," IEEE International Symposium on Circuits and Systems, pp 1774--1777, July 2005.Google ScholarGoogle Scholar
  14. J. Hu and R. Marculescu, "Energy-aware Mapping for Tile-based NoC Architectures under Performance Constraints," Asia and South Pacific Design Automation Conference, pp. 233--239, Jan. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. E. Nilsson, M. Millberg, J. Oberg, and A. Jantsch, "Load Distribution with the Proximity Congestion Awareness in a Network on Chip," IEEE Design Automation and Test in Europe Conference and Exhibition, pp. 1126--1127, Dec. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Hybrid network on chip (HNoC): local buses with a global mesh architecture

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        SLIP '10: Proceedings of the 12th ACM/IEEE international workshop on System level interconnect prediction
        June 2010
        106 pages
        ISBN:9781450300377
        DOI:10.1145/1811100

        Copyright © 2010 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 13 June 2010

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate6of8submissions,75%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader