skip to main content
research-article

Low-overhead Fmax calibration at multiple operating points using delay-sensitivity-based path selection

Published:02 March 2010Publication History
Skip Abstract Section

Abstract

Maximum operating frequency (Fmax) of a system often needs to be determined at multiple operating points, defined by voltage and temperatures. Such calibration is important for the speed binning process, where the voltage-frequency (V-Fmax) relation needs to be accurately determined to sort chips into different bins that can be used for different applications. Moreover, adaptive systems typically require Fmax calibration at multiple operating points in order to dynamically change operating condition such as supply voltage or body bias for power, temperature, or throughput management. For example, a Dynamic Voltage and Frequency Scaling (DVFS) system requires accurate delay calibration at multiple operating voltages in order to apply the correct operating frequency corresponding to a scaled supply. In this article, we propose a low-overhead design technique that allows efficient characterization of Fmax at different operating voltages and temperatures. The proposed method selects a set of representative timing paths in a circuit based on their temperature and voltage sensitivities and dynamically configures them into a ring oscillator to compute the critical path delay. Compared to existing Fmax calibration approaches, the proposed approach provides the following two main advantages: (1) it introduces a delay sensitivity metric to isolate few representative timing paths; (2) it considers actual timing paths instead of critical path replicas, thereby accounting for local within-die delay variations. The all-digital calibration method is robust under process variations and achieves high delay estimation accuracy (> 4% error) at the cost of negligible design overhead (1.7% in delay, 0.3% in power, and 3.5% in die-area).

References

  1. Azizi, N., Khellah, M. M., De, V., and Najm, F. N. 2005. Variations-Aware low power design with voltage scaling. In Proceedings of the 42nd Annual ACM IEEE Design Automation Conference. 529--534. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Borkar, S., Kamik, T., Narendra, S., Tschanz, J., Keshavarzi, A., and De, V. 2003. Parameter variations and impact on circuits and micro architecture. In Proceedings of the 40th Annual ACM IEEE Design Automation Conference. 338--342. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Bushnell, M. L. and Agrawal, V. D. 2000. Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits. Springer.Google ScholarGoogle Scholar
  4. Chan, A. and Roberts, G. 2001. A synthesizable, fast and high-resolution timing measurement device using a component-invariant vernier delay line. In Proceedings of the International Test Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Cory, B., Kapur, R., and Underwood, B. 2003. Speed binning with path delay test in 150-nm technology. IEEE Des. Test Comput. 20, 5, 41--45. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Daga, J. M. and Auvergne, D. 1998. Temperature effect on delay for low voltage application. In Proceedings of the Design, Automation and Test in Europe Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Ghosh, S., Bhunia, S., and Roy, K. 2006. A new paradigm for low-power, variation tolerant circuit synthesis using critical path isolation. In Proceedings of the International Conference on Computer-Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Ha, P., Mule, T., and Meindl, J. D. 1999. Characterization and modeling of clock skew with process variations. In Proceedings of the IEEE Conference on Custom Integrated Circuits. 441--444.Google ScholarGoogle Scholar
  9. Hachiya, K., Ohshima, T., Hidenari, Nakashima, Soda, M., and Goto, S. 2007. Fast methods to estimate clock jitter due to power supply noise. IEICE Trans. Fundam. Electron. Comm. Comput. Sci. 90, 4, 741--747. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Huisman, L. 1998. Correlations between path delays and the accuracy of performance prediction. In Proceedings of the International Test Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Karl, E. et al. 2008. Compact in-situ sensors for monitoring negative-bias-temperature-instability effect and oxide degradation. In Proceedings of the International Solid-State Circuits Conference.Google ScholarGoogle Scholar
  12. Keane, J., Kim, T.-H., and Kim, C. H. 2007. An on-chip NBTI sensor for measuring pmos threshold voltage degradation. In Proceedings of the IEEE International Symposium on Low Power Electronics and Design. 189--194. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Lasbouygues, B., Wilson, R., Azemard, N., and Maurine, P. 2007. Temperature and voltage aware timing analysis. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 26, 4, 801--815. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Lee, J., Walker, D. M. H., Milor, L., Peng, Y., and Hill, G. 1999. IC performance prediction for test cost-reduction. In Proceedings of the IEEE International Symposium on Semiconductor Manufacturing.Google ScholarGoogle Scholar
  15. McGowen, R. Poirier, C. A., Bostak, C., Ignowski, J., Millican, M., Parks, W. H., and Naffziger, S. 2006. Power and temperature control on a 90-nm itanium family processor. IEEE J. Solid State Circ. 41, 1, 229--237.Google ScholarGoogle ScholarCross RefCross Ref
  16. Ndai, P., Bhunia, S., Agarwal, A., and Roy, K. 2008. Within-Die variation-aware scheduling in superscalar processors for improved throughput. IEEE Trans. Comput. 57, 7, 940--951. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Pateras, S. 2003. Achieving at-speed structural test. IEEE Des. Test Comput. 20, 5, 26--33. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Sakurai, T. and Newton, A. 1990. Alpha-Power law mosfet model and its applications to cmos inverter delay and other formulas. IEEE J. Solid State Circ. 25, 2, 584--594.Google ScholarGoogle ScholarCross RefCross Ref
  19. Su, C., Chen, Y.-T., Huang, M.-J., Chen, G.-N., and Lee, C.-L. 2000. All digital built-in delay and crosstalk measurement for on-chip buses. In Proceedings of the Design, Automation and Test in Europe Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Tschanz, J., Kao, J. T., Narendra, S. G., Nair, R., Antoniadis, D. A., Chandrakasan, A. P., and De, V. 2002. Adaptive body bias for reducing impacts of die-to-die and with parameter variations on microprocessor frequency and leakage. IEEE J. Solid State Circ. 37, 1396--1402.Google ScholarGoogle ScholarCross RefCross Ref
  21. Tschanz, J., Bowman, K., and De, V. 2005. Variation-Tolerant circuits: Circuit solutions and techniques. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Zeng, J., Abadir, M., Kolhatkar, A., Vandling, G., Wang, L., and Abraham, J. 2004. On correlating structural tests with functional tests for speed binning of high performance design. In Proceedings of the International Test Conference. 31--37. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in

Full Access

  • Published in

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 15, Issue 2
    February 2010
    294 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/1698759
    Issue’s Table of Contents

    Copyright © 2010 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 2 March 2010
    • Accepted: 1 October 2009
    • Revised: 1 July 2009
    • Received: 1 March 2009
    Published in todaes Volume 15, Issue 2

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader