ABSTRACT
We present a hybrid nanoelectromechanical (NEM)/CMOS static random access memory (SRAM) cell, in which the two pull-down transistors of a conventional CMOS six transistor (6T) SRAM cell are replaced with NEM relays. This SRAM cell utilizes the infinite subthreshold slope and hysteretic properties of NEM relays to dramatically increase the cell stability compared to the conventional CMOS 6T SRAM cells. It also utilizes the zero off-state leakage of NEM relays to significantly decrease static power dissipation. The structure is designed so that the relatively long mechanical delay of the NEM relays does not result in performance degradation. Circuit simulations are performed using a VerilogA model of a NEM relay. Compared to a 65nm CMOS 6T SRAM cell, when 10nm-gap NEM relays (pull-in voltage = 0.8V, pull-out voltage = 0.2V, on resistance = 1kΩ) are integrated, hold and read static noise margin (SNM) improve by ~110% and ~250%, respectively. In addition, static power dissipation decreases by ~85%. The write delay decreases by ~60%, while read delay decreases by ~10%. The advantages in SNM and static power dissipation are expected to increase with scaling.
- C.-T. Chuang et al., "High-Performance SRAM in Nanoscale CMOS: Design Challenges and Techniques," IEEE International Workshop on Memory Technology, Design and Testing, 2007, pp. 4--12. Google ScholarDigital Library
- R. E. Aly et al., "Novel 7T SRAM Cell for Low Power Cache Design," IEEE SOC Conference, 2005, pp. 171--174.Google Scholar
- K. Takeda et al., "A Read-Static-Noise-Margin-Free SRAM Cell for Low-VDD and High-Speed Applications," IEEE Journal of Solid-State Circuits, vol. 41, 2006, pp. 113--121.Google ScholarCross Ref
- L. Chang et al., "Stable SRAM Cell Design for the 32nm Node and Beyond," Symposium on VLSI Technology, 2005, pp. 128--129.Google Scholar
- L. Chang et al., "A 5.3GHz 8T-SRAM with Operation Down to 0.41V in 65nm CMOS," Symposium on VLSI Technology, 2007, pp. 252--253.Google Scholar
- B. H. Calhoun and A. Chandrakasan, "A 256kb Sub-threshold SRAM in 65nm CMOS," International Solid-State Circuits Conference, 2006, pp. 2592--2601.Google Scholar
- K. Kim et al., "Asymmetrical SRAM Cells with Enhanced Read and Write Margins," International Symposium on VLSI Technology, Systems and Applications, 2007, pp. 1--2.Google Scholar
- J. P. Kulkarni et al., "A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM," IEEE Journal of Solid-State Circuits, vol. 42, 2007, pp. 2303--2313.Google ScholarCross Ref
- J. P. Kulkarni et al., "Process Variation Tolerant SRAM Array for Ultra Low Voltage Applications," Design Automation Conference, 2008, pp. 108--113. Google ScholarDigital Library
- K. Akarvardar et al., "Design Considerations for Complementary Nanoelectromechanical Logic Gates," International Electron Devices Meeting, 2007, pp. 299--302.Google Scholar
- F. Chen et al., "Integrated Circuit Design with NEM Relays," International Conference on Computer Aided Design, 2008, pp. 750--757. Google ScholarDigital Library
- J.-O. Lee et al., "3-Terminal Nanoelectromechanical Switching Device in Insulating Liquid Media for Low Voltage Operation and Reliability Improvement," International Electron Devices Meeting, 2009 (to be published).Google Scholar
- D. A. Czaplewski et al., "A nanoelectromechanical switch for integration with CMOS logic," Journal of Micromechanical Microengineering, vol. 19, 2009, 085003.Google ScholarCross Ref
- http://www.cavendish-kinetics.com/Google Scholar
- G. M. Rebeiz, RF MEMS: Theory, Design, and Technology, John Wiley and Sons, 2003. Google ScholarDigital Library
- R. Maboudian and R. T. Howe, "Critical Review: Adhesion in Surface Micromechanical Structures," Journal of Vacuum Science and Technology B, vol. 15, 1997, pp. 1--20.Google ScholarCross Ref
- J. M. Gere, Mechanics of Materials, Thomson-Engineering, 2003.Google Scholar
- S. Majumder et al., "Measurement and Modeling of Surface Micromachined, Electrostatically Actuated Microswitches," International Conference on Solid-State Sensors and Actuators, Transducers, 1997, pp. 1145--1148.Google Scholar
- R. A. Coutu, Jr. and P. E. Kladitis, "Contact Force Models, including Electric Contact Deformation, for Electrostatically Actuated, Cantilever-Style, RF MEMS Switches," NSTI Nanotech, vol. 2, 2004, pp. 219--222.Google Scholar
- http://www.eas.asu.edu/~ptmGoogle Scholar
- H. Nii et al., "A 45nm High Performance Bulk Logic Platform Technology (CMOS6) using Ultra High NA (1.07) Immersion Lithography with Hybrid Dual-Damascene Structure and Porous Low-k BEOL," International Electron Devices Meeting, 2006, pp. 1--4.Google Scholar
- Virtuoso® Spectre® Circuit Simulator User Guide, Cadence Design Systems, San Jose, CA, 2004.Google Scholar
- E. Seevinck et al., "Static-Noise Margin Analysis of MOS SRAM Cells," IEEE Journal of Solid-State Circuits, vol. SC-22, 1987, pp. 748--754.Google ScholarCross Ref
- S. Mukhopadhyay and K. Roy, "Modeling and Estimation of Total Leakage Current in Nano-scaled CMOS Devices Considering the Effect of Parameter Variation," International Symposium on Low Power Electronics and Design, 2003, pp. 172--175. Google ScholarDigital Library
- Y. C. Yeo et al., "Direct Tunneling Gate Leakage Current in Transistors with Ultrathin Silicon Nitride Gate Dielectric," Electron Device Letters, vol. 21, 2000, pp. 540--542.Google ScholarCross Ref
- http://www.mosis.com/Technical/Designrules/scmos/scmosmain.htmlGoogle Scholar
- H. Dadgour, "Scaling and Variability Analysis of CNT-Based NEMS Devices and Circuits with Implications for Process Design," International Electron Devices Meeting, 2008, pp. 1--4.Google Scholar
- H. F. Dadgour and K. Banerjee, "Design and Analysis of Hybrid NEMS-CMOS Circuits for Ultra Low-Power Applications," Design Automation Conference, 2007, pp. 306--311. Google ScholarDigital Library
Index Terms
- Nanoelectromechanical (NEM) relays integrated with CMOS SRAM for improved stability and low leakage
Recommendations
Low-leakage robust SRAM cell design for sub-100nm technologies
ASP-DAC '05: Proceedings of the 2005 Asia and South Pacific Design Automation ConferenceA novel low-leakage robust SRAM design for sub-100nm technologies, Hybrid SRAM (HSRAM) cell, is presented in this paper. Leakage power, especially subthreshold leakage and gate leakage, and soft error are challenging the design of SRAM. While these ...
SRAM leakage in CMOS, FinFET and CNTFET technologies: leakage in 8t and 6t sram cells
GLSVLSI '12: Proceedings of the great lakes symposium on VLSIAn in-depth study of the static power consumption in 6T and 8T SRAM cell designs based on 32nm CMOS, FinFET and CNTFET technologies is presented. In addition to the inverter leakage currents, memory cells that are not active when write or read ...
A low-leakage current power 180-nm CMOS SRAM
ASP-DAC '08: Proceedings of the 2008 Asia and South Pacific Design Automation ConferenceA low leakage power, 180-nm 1K-b SRAM was fabricated. The stand-by leakage power of a 1K-bit memory cell array incorporating a newly-developed leakage current reduction circuit called a "Self-controllable Voltage Level (SVL)" circuit was only 3.7nW, ...
Comments