skip to main content
10.1145/1687399.1687490acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Nanoelectromechanical (NEM) relays integrated with CMOS SRAM for improved stability and low leakage

Published:02 November 2009Publication History

ABSTRACT

We present a hybrid nanoelectromechanical (NEM)/CMOS static random access memory (SRAM) cell, in which the two pull-down transistors of a conventional CMOS six transistor (6T) SRAM cell are replaced with NEM relays. This SRAM cell utilizes the infinite subthreshold slope and hysteretic properties of NEM relays to dramatically increase the cell stability compared to the conventional CMOS 6T SRAM cells. It also utilizes the zero off-state leakage of NEM relays to significantly decrease static power dissipation. The structure is designed so that the relatively long mechanical delay of the NEM relays does not result in performance degradation. Circuit simulations are performed using a VerilogA model of a NEM relay. Compared to a 65nm CMOS 6T SRAM cell, when 10nm-gap NEM relays (pull-in voltage = 0.8V, pull-out voltage = 0.2V, on resistance = 1kΩ) are integrated, hold and read static noise margin (SNM) improve by ~110% and ~250%, respectively. In addition, static power dissipation decreases by ~85%. The write delay decreases by ~60%, while read delay decreases by ~10%. The advantages in SNM and static power dissipation are expected to increase with scaling.

References

  1. C.-T. Chuang et al., "High-Performance SRAM in Nanoscale CMOS: Design Challenges and Techniques," IEEE International Workshop on Memory Technology, Design and Testing, 2007, pp. 4--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. R. E. Aly et al., "Novel 7T SRAM Cell for Low Power Cache Design," IEEE SOC Conference, 2005, pp. 171--174.Google ScholarGoogle Scholar
  3. K. Takeda et al., "A Read-Static-Noise-Margin-Free SRAM Cell for Low-VDD and High-Speed Applications," IEEE Journal of Solid-State Circuits, vol. 41, 2006, pp. 113--121.Google ScholarGoogle ScholarCross RefCross Ref
  4. L. Chang et al., "Stable SRAM Cell Design for the 32nm Node and Beyond," Symposium on VLSI Technology, 2005, pp. 128--129.Google ScholarGoogle Scholar
  5. L. Chang et al., "A 5.3GHz 8T-SRAM with Operation Down to 0.41V in 65nm CMOS," Symposium on VLSI Technology, 2007, pp. 252--253.Google ScholarGoogle Scholar
  6. B. H. Calhoun and A. Chandrakasan, "A 256kb Sub-threshold SRAM in 65nm CMOS," International Solid-State Circuits Conference, 2006, pp. 2592--2601.Google ScholarGoogle Scholar
  7. K. Kim et al., "Asymmetrical SRAM Cells with Enhanced Read and Write Margins," International Symposium on VLSI Technology, Systems and Applications, 2007, pp. 1--2.Google ScholarGoogle Scholar
  8. J. P. Kulkarni et al., "A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM," IEEE Journal of Solid-State Circuits, vol. 42, 2007, pp. 2303--2313.Google ScholarGoogle ScholarCross RefCross Ref
  9. J. P. Kulkarni et al., "Process Variation Tolerant SRAM Array for Ultra Low Voltage Applications," Design Automation Conference, 2008, pp. 108--113. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. K. Akarvardar et al., "Design Considerations for Complementary Nanoelectromechanical Logic Gates," International Electron Devices Meeting, 2007, pp. 299--302.Google ScholarGoogle Scholar
  11. F. Chen et al., "Integrated Circuit Design with NEM Relays," International Conference on Computer Aided Design, 2008, pp. 750--757. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J.-O. Lee et al., "3-Terminal Nanoelectromechanical Switching Device in Insulating Liquid Media for Low Voltage Operation and Reliability Improvement," International Electron Devices Meeting, 2009 (to be published).Google ScholarGoogle Scholar
  13. D. A. Czaplewski et al., "A nanoelectromechanical switch for integration with CMOS logic," Journal of Micromechanical Microengineering, vol. 19, 2009, 085003.Google ScholarGoogle ScholarCross RefCross Ref
  14. http://www.cavendish-kinetics.com/Google ScholarGoogle Scholar
  15. G. M. Rebeiz, RF MEMS: Theory, Design, and Technology, John Wiley and Sons, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. R. Maboudian and R. T. Howe, "Critical Review: Adhesion in Surface Micromechanical Structures," Journal of Vacuum Science and Technology B, vol. 15, 1997, pp. 1--20.Google ScholarGoogle ScholarCross RefCross Ref
  17. J. M. Gere, Mechanics of Materials, Thomson-Engineering, 2003.Google ScholarGoogle Scholar
  18. S. Majumder et al., "Measurement and Modeling of Surface Micromachined, Electrostatically Actuated Microswitches," International Conference on Solid-State Sensors and Actuators, Transducers, 1997, pp. 1145--1148.Google ScholarGoogle Scholar
  19. R. A. Coutu, Jr. and P. E. Kladitis, "Contact Force Models, including Electric Contact Deformation, for Electrostatically Actuated, Cantilever-Style, RF MEMS Switches," NSTI Nanotech, vol. 2, 2004, pp. 219--222.Google ScholarGoogle Scholar
  20. http://www.eas.asu.edu/~ptmGoogle ScholarGoogle Scholar
  21. H. Nii et al., "A 45nm High Performance Bulk Logic Platform Technology (CMOS6) using Ultra High NA (1.07) Immersion Lithography with Hybrid Dual-Damascene Structure and Porous Low-k BEOL," International Electron Devices Meeting, 2006, pp. 1--4.Google ScholarGoogle Scholar
  22. Virtuoso® Spectre® Circuit Simulator User Guide, Cadence Design Systems, San Jose, CA, 2004.Google ScholarGoogle Scholar
  23. E. Seevinck et al., "Static-Noise Margin Analysis of MOS SRAM Cells," IEEE Journal of Solid-State Circuits, vol. SC-22, 1987, pp. 748--754.Google ScholarGoogle ScholarCross RefCross Ref
  24. S. Mukhopadhyay and K. Roy, "Modeling and Estimation of Total Leakage Current in Nano-scaled CMOS Devices Considering the Effect of Parameter Variation," International Symposium on Low Power Electronics and Design, 2003, pp. 172--175. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Y. C. Yeo et al., "Direct Tunneling Gate Leakage Current in Transistors with Ultrathin Silicon Nitride Gate Dielectric," Electron Device Letters, vol. 21, 2000, pp. 540--542.Google ScholarGoogle ScholarCross RefCross Ref
  26. http://www.mosis.com/Technical/Designrules/scmos/scmosmain.htmlGoogle ScholarGoogle Scholar
  27. H. Dadgour, "Scaling and Variability Analysis of CNT-Based NEMS Devices and Circuits with Implications for Process Design," International Electron Devices Meeting, 2008, pp. 1--4.Google ScholarGoogle Scholar
  28. H. F. Dadgour and K. Banerjee, "Design and Analysis of Hybrid NEMS-CMOS Circuits for Ultra Low-Power Applications," Design Automation Conference, 2007, pp. 306--311. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Nanoelectromechanical (NEM) relays integrated with CMOS SRAM for improved stability and low leakage

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided Design
            November 2009
            803 pages
            ISBN:9781605588001
            DOI:10.1145/1687399

            Copyright © 2009 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 2 November 2009

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate457of1,762submissions,26%

            Upcoming Conference

            ICCAD '24
            IEEE/ACM International Conference on Computer-Aided Design
            October 27 - 31, 2024
            New York , NY , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader