skip to main content
10.1145/1233501.1233601acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

An analytical model for negative bias temperature instability

Published:05 November 2006Publication History

ABSTRACT

Negative Bias Temperature Instability (NBTI) in PMOS transistors has become a significant reliability concern in present day digital circuit design. With continued scaling, the effect of NBTI has rapidly grown in prominence, forcing designers to resort to a pessimistic design style using guard-banding. Since NBTI is strongly dependent on the time for which the PMOS device is stressed, different gates in a combinational circuit experience varying extents of delay degradation. This has necessitated a mechanism of quantizing the gate-delay degradation, to pave the way for improved design strategies. Our work addresses this issue by providing a procedure for determining the amount of delay degradation of a circuit due to NBTI. An analytical model for NBTI is derived using the framework of the Reaction-Diffusion model, and a mathematical proof for the widely observed phenomenon of frequency independence is provided. Simulations on ISCAS benchmarks under a 70nm technology show that NBTI causes a delay degradation of about 8% in combinational logic based circuits after 10 years (≈ 3 x 108s).

References

  1. A. T. Krishnan, V. Reddy, S. Chakravarthi, J. Rodriguez, S. John, and S. Krishnan, "NBTI Impact on Transistor and Circuit: Models, Mechanisms and Scaling Effects," in IEEE International Electronic Devices Meeting, pp. 14.5.1--14.5.4, December 2003.Google ScholarGoogle Scholar
  2. M. A. Alam, "On the Reliability of Micro-electronic Devices: An Introductory Lecture on Negative Bias Temperature Instability," in Nanotechnology 501 Lecture Series, September 2005. Available at http://www.nanohub.org/resources/?id=193.Google ScholarGoogle Scholar
  3. M. A. Alam, "A Critical Examination of the Mechanics of Dynamic NBTI for pMOSFETs," in IEEE International Electronic Devices Meeting, pp. 14.4.1--14.4.4, December 2003.Google ScholarGoogle Scholar
  4. M. A. Alam and S. Mahapatra, "A Comprehensive Model of PMOS NBTI Degradation," Journal of Microelectronics Reliability, vol. 45, pp. 71--81, August 2004.Google ScholarGoogle ScholarCross RefCross Ref
  5. S. Mahapatra, P. B. Kumar, and M. A. Alam, "Investigation and Modeling of Interface and Bulk Trap Generation During Negative Bias Temperature Instability of p-MOSFETs," in IEEE Transactions on Electronic Devices, pp. 1371--1379, September 2004.Google ScholarGoogle Scholar
  6. B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy, "Impact of NBTI on the Temporal Performance Degradation of Digital Circuits," IEEE Electron Device Letters, vol. 26, pp. 560--562, August 2003.Google ScholarGoogle ScholarCross RefCross Ref
  7. S. Chakravarthi, A. T. Krishnan, V. Reddy, C. Machala, and S. Krishnan, "A Comprehensive Framework for Predictive Modeling of Negative Bias Temperature Instability," in Proceedings of the IEEE International Reliability Physics Symposium, pp. 273--282, April 2004.Google ScholarGoogle Scholar
  8. G. Chen, M. F. Li, C. H. Ang, J. Z. Zheng, and D. L. Kwong, "Dynamic NBTI of p-MOS Transistors and its Impact on MOSFET Scaling," in IEEE Electron Device Letters, pp. 734--736, December 2002.Google ScholarGoogle Scholar
  9. Predictive Technology Model. Available at http://www.eas.asu.edu/ptm.Google ScholarGoogle Scholar
  10. W. Abadeer and W. Ellis, "Behavior of NBTI under AC Dynamic Circuit Conditions," in Proceedings of the IEEE International Reliability Physics Symposium, pp. 17--22, August 2003.Google ScholarGoogle Scholar

Index Terms

  1. An analytical model for negative bias temperature instability

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ICCAD '06: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
        November 2006
        147 pages
        ISBN:1595933891
        DOI:10.1145/1233501

        Copyright © 2006 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 November 2006

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate457of1,762submissions,26%

        Upcoming Conference

        ICCAD '24
        IEEE/ACM International Conference on Computer-Aided Design
        October 27 - 31, 2024
        New York , NY , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader