skip to main content
article

Effective techniques for the generalized low-power binding problem

Published:01 January 2006Publication History
Skip Abstract Section

Abstract

This article proposes two very fast graph theoretic heuristics for the low power binding problem given fixed number of resources and multiple architectures for the resources. First, the generalized low power binding problem is formulated as an Integer Linear Programming (ILP) problem that happens to be an NP-complete task to solve. Then two polynomial-time heuristics are proposed that provide a speedup of up to 13.7 with an extremely low penalty for power when compared to the optimal ILP solution for our selected benchmarks.

References

  1. Boppana, R. and Halldórsson, M. M. 1990. Approximating maximum independent sets by excluding subgraphs. In Proceedings of the SWAT 90 2nd Scandinavian Workshop on Algorithm Theory 447, pp. 13--25.]] Google ScholarGoogle Scholar
  2. Bringmann, O. and Rosenstiel, W. 1997. Resource sharing in hierarchical synthesis. In Proceedings of the International Conference on Computer Aided Design (Nov.). pp. 318--325.]] Google ScholarGoogle Scholar
  3. Chandrakasan, A. P., Sheng, S., and Brodersen, R. W. 1992. Low power CMOS digital design. IEEE J. Solid State Circ. (Apr.) pp. 472--484.]]Google ScholarGoogle Scholar
  4. Chang, J.-M. and Pedram, M. 1995. Low power register allocation and binding. In Proceedings of the Design Automation Conference (June). pp. 29--35.]] Google ScholarGoogle Scholar
  5. Chang, J.-M. and Pedram, M. 1996. Module assignment for low-power. In Proceedings of the European Design Automation Conference (Sept.). pp. 376--381.]] Google ScholarGoogle Scholar
  6. Fang, Y. M. and Wong, D. F. 1994. Simultaneous functinal-unit binding and floorplanning. In Proceedings of the International Conference on Computer-Aided Design (Nov.). pp. 317--321.]] Google ScholarGoogle Scholar
  7. Hafer, L. and Parker, A. 1982. Automated synthesis of digital hardware. IEEE Trans. Comput., Feb.]]Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Halldórsson, M. M. 1999. Approximations of weighted independent set and hereditary subset problems. In Proceedings of the 5th Annual International Conference on Computing and Combinatorics, Lecture Notes in Computer Science. Springer-Verlag, New York, pp. 261--270.]]Google ScholarGoogle Scholar
  9. Hashimoto, A. and Stevens, J. 1971. Wire routing by optimizaing channel assignment with large apertures. In Proceedings of the 8th Design Automation Workshop. pp. 155--169.]] Google ScholarGoogle Scholar
  10. Herrmann, D. and Ernstl, R. 1999. Improved interconnect sharing by identity operation insertion. In International Conference on Computer-Aided Design (Nov.). pp. 489--492.]] Google ScholarGoogle Scholar
  11. Kruse, L., Schmidt, E., Jochens, G., Stammermann, A., Schulz, A., Macii, E., and Nebel, W. 2001. Estimation of lower and upper bounds on the power consumption from scheduled data flow graphs. IEEE Trans. VLSI Syste. (Feb.). pp. 3--14.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Lee, C., Potkonjak, M., and Mangione-Smith, W. H. 1997. MediaBench: A tool for evaluating and synthesizing multimedia and communications systems. In Proceedings of the International Symposium on Microarchitecture.]] Google ScholarGoogle Scholar
  13. Niedermeier, R. and Rossmanith, P. 2000. On efficient fixed parameter algorithms for WEIGHTED VERTEX COVER. In Proceedings of the International Symposium on Algorithms and Computation, pp. 180--191.]] Google ScholarGoogle ScholarCross RefCross Ref
  14. Ogrenci-Memik, S., Bozorgzadeh, E., Kastner, R., and Sarrafzadeh, M. 2001. A super scheduler for embedded reconfigurable systems. In Proceedings of the International Conference on Computer Aided Design (Nov.). pp. 391--394.]] Google ScholarGoogle Scholar
  15. Raghunathan, A. and Jha, N. 1995. An ILP formulation for low power based on minimizing switched capacitance during datapath allocation. In Proceedings of the IEEE Symposium on Circuits and Systems.]]Google ScholarGoogle Scholar
  16. Raje, S. and Bergamaschi, R. A. 1977. Gerenralized resource sharing. In Proceedings of the International Conference on Computer Aided Design (Nov.). pp. 326--332.]] Google ScholarGoogle Scholar
  17. Srivastava, A. 2002. Predictability: Definition, analysis and optimization. In Proceedings of the International Conference on Computer Aided Design, Nov.]] Google ScholarGoogle Scholar
  18. Tseng, C. J. and Siewiorek, D. 1986. Automated synthesis of data paths in digital systems. IEEE Trans. Comput. Aid. Des. (July).]]Google ScholarGoogle Scholar

Index Terms

  1. Effective techniques for the generalized low-power binding problem

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 11, Issue 1
        January 2006
        250 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/1124713
        Issue’s Table of Contents

        Copyright © 2006 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 January 2006
        Published in todaes Volume 11, Issue 1

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • article

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader